第 1 到 206 筆結果,共 206 筆。

公開日期標題作者來源出版物scopusWOS全文
12024Daytime radiative cooling of single-board computer in nearly enclosed unmanned surface vehicleChen, Yen Jen; Chang, Sih Wei; Wang, Hsueh Cheng; Lim, Sin Kiat; Huang, Ching I.; Ko, Fu Hsiang; Lo, Yu Chieh; Wan, Dehui; HSUEN-LI CHEN Solar Energy Materials and Solar Cells
22023Eco-Friendly Transparent Silk Fibroin Radiative Cooling Film for Thermal Management of OptoelectronicsYU-HSUAN CHEN; Hwang, Ching Wen; Chang, Sih Wei; Tsai, Meng Ting; Jayakumaran, Kavya Nair; Yang, Ling Chu; Lo, Yu Chieh; Ko, Fu Hsiang; Wang, Hsueh Cheng; HSUEN-LI CHEN ; Wan, DehuiAdvanced Functional Materials11
32023Characterization of 2D Transition Metal Dichalcogenides Through Anisotropic Exciton BehaviorsChen, Shu Hsien; Chang, Sih Wei; HSUEN-LI CHEN Small Methods
42023Emissivity and Optical Properties of Thin-Film Metallic Glass in the Thermal Infrared RegionLai, Chia Chien; Hsiao, Tzu Chieh; Wang, Wei Han; Chang, Sih Wei; HSUEN-LI CHEN Advanced Optical Materials00
52023Solution-Processable Three-Dimensional Metamaterials with Ultrahigh Broadband Absorption for Photothermal Electronic ConversionTsao, Shao Hsuan; Sun, Aileen Y.; Lee, Yang Chun; Hwang, Ching Wen; Lin, Keng Te; Lai, Yu Sheng; Yang, Ling Chu; HSUEN-LI CHEN ; Wan, DehuiLaser and Photonics Reviews00
62023Near-room-temperature waste heat recovery through radiative cooling for both daytime and nighttime power generationChang, Sih Wei; Chen, Yen Jen; Wan, Dehui; HSUEN-LI CHEN Journal of Materials Chemistry A00
72022Cavity-enhanced magnetic dipole resonance induced hot luminescence from hundred-nanometer-sized silicon spheresTseng, Yi Chuan; Chang, Sih Wei; Lee, Yang Chun; HSUEN-LI CHEN Nanophotonics11
82022Wafer-scale nanocracks enable single-molecule detection and on-site analysisChang Y.-L; Lai I.-C; Lu L.-C; Chang S.-W; Sun A.Y; Wan D; Chen H.-L.; HSUEN-LI CHEN Biosensors and Bioelectronics1010
92022Optical Inspection of 2D Materials: From Mechanical Exfoliation to Wafer-Scale Growth and BeyondLee Y.-C; Chang S.-W; Chen S.-H; Chen S.-L; Chen H.-L.; HSUEN-LI CHEN Advanced Science109
102021Diverse Substrate-Mediated Local Electric Field Enhancement of Metal Nanoparticles for Nanogap-Enhanced Raman ScatteringSun A.Y; Lee Y.-C; Chang S.-W; Chen S.-L; Wang H.-C; Wan D; Chen H.-L.; HSUEN-LI CHEN Analytical Chemistry
112021Optimization and simulation of a carbon nanotube arrangement for transparent conductive electrodes with record-high direct current to optical conductive ratiosChen S.-L; Yu C.-C; Chang S.-W; Lee Y.-C; Chen H.-L.; HSUEN-LI CHEN Optical Materials Express
122021Gallium Arsenide-Based Active Antennas for Optical Communication Photodetection with Robustness to Voltage and TemperatureLin C.-C; Chang B.-J; Chen S.-H; Lin K.-T; Chang S.-W; Chen W.-Y; Chen B.-Y; Liu M.-C; Chen H.-L.; HSUEN-LI CHEN Advanced Optical Materials
132021Possible warming effect of fine particulate matter in the atmosphereChen S.-L; Chang S.-W; Chen Y.-J; HSUEN-LI CHEN Communications Earth and Environment89
142019Silicon-Based Embedded Trenches of Active Antennas for High-Responsivity Omnidirectional Photodetection at Telecommunication WavelengthsLin, K.-T.; Chan, C.-J.; Lai, Y.-S.; Shiu, L.-T.; Lin, C.-C.; Chen, H.-L.; HSUEN-LI CHEN ACS Applied Materials and Interfaces
152019Magnetic fields affect hot electrons in silicon-based photodetectors at telecommunication wavelengthsLin, T.-Y.; Lin, K.-T.; Lin, C.-C.; Lee, Y.-W.; Shiu, L.-T.; Chen, W.-Y.; Chen, H.-L.; HSUEN-LI CHEN Materials Horizons
162019Air Gap-Based Cavities Dramatically Enhance the True Intrinsic Spectral Signals of Suspended and Pristine Two-Dimensional MaterialsLin, T.-Y.; Lee, Y.-C.; Lee, Y.-W.; Chang, S.-W.; Ma, D.-L.; Lin, B.-C.; Chen, H.-L.; HSUEN-LI CHEN Journal of Physical Chemistry C
172019Fluorescent microdiamonds conjugated with hollow gold nanoparticles as photothermal fiducial markers in tissueKuo, S.-J.; Chang, S.-W.; Hui, Y.Y.; Chen, O.Y.; Chen, Y.-W.; Lin, C.-C.; Wan, D.; Chen, H.-L.; Chang, H.-C.; HSUEN-LI CHEN Journal of Materials Chemistry C
182019Creation of 3D Textured Graphene/Si Schottky Junction Photocathode for Enhanced Photo-Electrochemical Efficiency and StabilityKu C.-K.; Wu P.-H.; Chung C.-C.; Chen C.-C.; Tsai K.-J.; Chen H.-M.; Chang Y.-C.; Chuang C.-H.; Wei C.-Y.; Wen C.-Y.; Lin T.-Y.; Chen H.-L.; Wang Y.-S.; Lee Z.-Y.; Chang J.-R.; Luo C.-W.; Wang D.-Y.; Hwang B.J.; CHUN-WEI CHEN ; CHENG-YEN WEN ; HSUEN-LI CHEN Advanced Energy Materials2224
192018Manipulating the distribution of electric field intensity to effectively enhance the spatial and spectral fluorescence intensity of fluorescent nanodiamondsKuo, S.-J.; Tsai, P.-C.; Lee, Y.-C.; Chang, S.-W.; Sotoma, S.; Fang, C.-Y.; Chang, H.-C.; Chen, H.-L.; HSUEN-LI CHEN Nanoscale
202018Magnetic Dipole Resonance and Coupling Effects Directly Enhance the Raman Signals of As-Grown Graphene on Copper Foil by over One HundredfoldTseng Y.-C.; Lin T.-Y.; Lee Y.-C.; Ku C.-K.; Chen C.-W.; CHUN-WEI CHEN ; HSUEN-LI CHEN Chemistry of Materials33
212017Enhancing Raman signals through electromagnetic hot zones induced by magnetic dipole resonance of metal-free nanoparticlesTseng, Y.-C.; Lee, Y.-C.; Chang, S.-W.; Lin, T.-Y.; Ma, D.-L.; Lin, B.-C.; Chen, H.-L.; HSUEN-LI CHEN Nanotechnology
222017負載效應所誘發之單層結構金屬薄膜寬波段完美吸收體林耕德(Keng-Te Lin); 陳學禮(Hsuen-Li Chen); 賴宇紳(Yu-Sheng Lai); 游振傑(Chen-Chieh Yu); 李仰淳(Yang-Chun Lee); 蘇寶勻(Pao-Yun Su); 顏妤庭(Yu-Ting Yen); 陳博義(Bo-Yi Chen); 陳學禮 科儀新知
232017以單層連續金屬膜建構無彩色濾光片與無p-n接面之全彩式光偵測器林敬哲; 林耕德; 陳學禮; 賴宇紳; 陳仕鴻; 林昆霖; 陳博義; 陳學禮 國家奈米元件實驗室奈米通訊
242017Loading effect�Vinduced broadband perfect absorber based on single-layer structured metal filmLin, K.-T.; Chen, H.-L.; Lai, Y.-S.; Yu, C.-C.; Lee, Y.-C.; Su, P.-Y.; Yen, Y.-T.; Chen, B.-Y.; HSUEN-LI CHEN Nano Energy
252017Single type of nanocavity structure enhances light outcouplings from various two-dimensional materials by over 100-foldLee, Y.-C.; Tseng, Y.-C.; Chen, H.-L.; HSUEN-LI CHEN ACS Photonics
262016Ultra-broadband and omnidirectional enhanced absorption of graphene in a simple nanocavity structureLee, Y.-C.; Lin, K.-T.; Chen, H.-L.; HSUEN-LI CHEN Carbon
272016Short-range plasmonic nanofocusing within submicron regimes facilitates in situ probing and promoting of interfacial reactionsYu, C.-C.; Lin, K.-T.; Su, P.-Y.; Wang, E.-Y.; Yen, Y.-T.; Chen, H.-L.; HSUEN-LI CHEN Nanoscale
282016Filter-free, junctionless structures for color sensingLin, K.-T.; Chen, H.-L.; Lai, Y.-S.; HSUEN-LI CHEN Nanoscale
292016Using Visible Laser-Based Raman Spectroscopy to Identify the Surface Polarity of Silicon CarbideTseng, Y.-C.; Cheng, Y.-C.; Lee, Y.-C.; Ma, D.-L.; Yu, B.-Y.; Lin, B.-C.; Chen, H.-L.; HSUEN-LI CHEN Journal of Physical Chemistry C
302016Plasmonics-Based Multifunctional Electrodes for Low-Power-Consumption Compact Color-Image SensorsLin, K.-T.; Chen, H.-L.; Lai, Y.-S.; Chi, Y.-M.; Chu, T.-W.; HSUEN-LI CHEN ACS Applied Materials and Interfaces
312016Astronomical liquid mirrors as highly ultrasensitive, broadband-operational surface-enhanced Raman scattering-active substratesLu, T.-Y.; Lee, Y.-C.; Yen, Y.-T.; Yu, C.-C.; Chen, H.-L.; HSUEN-LI CHEN Journal of Colloid and Interface Science
322015Transparent, broadband, flexible, and bifacial-operable photodetectors containing a large-area graphene-gold oxide heterojunctionLiu, Y.-L.; Yu, C.-C.; Lin, K.-T.; Yang, T.-C.; Wang, E.-Y.; Chen, H.-L.; Chen, L.-C. ; HSUEN-LI CHEN ACS Nano6159
332015Sunlight-activated graphene-heterostructure transparent cathodes: Enabling high-performance n-graphene/p-Si Schottky junction photovoltaicsHo, P.-H.; Lee, W.-C.; Liou, Y.-T.; Chiu, Y.-P.; Shih, Y.-S.; Chen, C.-C.; Su, P.-Y.; Li, M.-K.; Chen, H.-L.; Liang, C.-T.; CHI-TE LIANG ; YA-PING CHIU ; CHUN-WEI CHEN ; HSUEN-LI CHEN Energy and Environmental Science4440
342015Nanocrystallized CdS for detection of UV light with picowatt sensitivity through single shot KrF laser treatmentLin, K.-T.; Chen, H.-L.; Liu, Y.-L.; Tseng, Y.-C.; Lin, C.-H.; Chang, H.-M.; Liu, J.-M.; Lai, Y.-S.; HSUEN-LI CHEN Proceedings of AM-FPD 2015 - 22nd International Workshop on Active-Matrix Flatpanel Displays and Devices: TFT Technologies and FPD Materials
352015Using metal-less structures to enhance the Raman signals of graphene by 100-fold while maintaining the band-to-band ratio and peak positions preciselyLee, Y.-C.; Wang, E.-Y.; Liu, Y.-L.; Chen, H.-L.; HSUEN-LI CHEN Chemistry of Materials
362015Single-shot laser treatment provides quasi-three-dimensional paper-based substrates for SERS with attomolar sensitivityYu, C.-C.; Chou, S.-Y.; Tseng, Y.-C.; Tseng, S.-C.; Yen, Y.-T.; Chen, H.-L.; HSUEN-LI CHEN Nanoscale
372015Nanoimprint technology for patterning functional materials and its applicationsYu, C.-C.; Chen, H.-L.; HSUEN-LI CHEN Microelectronic Engineering
382015Using nanoimprint lithography to improve the light extraction efficiency and color rendering of dichromatic white light-emitting diodesLee, Y.-C.; Chen, H.-L.; Lu, C.-Y.; Wu, H.-S.; Chou, Y.-F.; Chen, S.-H.; HSUEN-LI CHEN Nanoscale
392015Incident angle-tuned, broadband, ultrahigh-sensitivity plasmonic antennas prepared from nanoparticles on imprinted mirrorsYu, C.-C.; Tseng, Y.-C.; Su, P.-Y.; Lin, K.-T.; Shao, C.-C.; Chou, S.-Y.; Yen, Y.-T.; Chen, H.-L.; HSUEN-LI CHEN Nanoscale
402015White-Light-Induced Collective Heating of Gold Nanocomposite/Bombyx mori Silk Thin Films with Ultrahigh Broadband AbsorbanceTsao, S.H.; Wan, D.; Lai, Y.-S.; Chang, H.-M.; Yu, C.-C.; Lin, K.-T.; Chen, H.-L.; HSUEN-LI CHEN ACS Nano
412015Romantic Story or Raman Scattering? Rose Petals as Ecofriendly, Low-Cost Substrates for Ultrasensitive Surface-Enhanced Raman ScatteringChou, S.-Y.; Yu, C.-C.; Yen, Y.-T.; Lin, K.-T.; Chen, H.-L.; WEI-FANG SU ; HSUEN-LI CHEN Analytical Chemistry8780
422014Plasmonic nanoparticle-film calipers for rapid and ultrasensitive dimensional and refractometric detectionYu, C.-C.; Lin, K.-T.; Tseng, Y.-C.; Chou, S.-Y.; Shao, C.-C.; HSUEN-LI CHEN ; WEI-FANG SU Analyst44
432014Controllable localized surface plasmonic resonance phenomena in reduced gold oxide filmsLiu, Y.-L.; Fang, C.-Y.; Yu, C.-C.; Yang, T.-C.; Chen, H.-L.; HSUEN-LI CHEN Chemistry of Materials
442014Silicon-based broadband antenna for high responsivity and polarization-insensitive photodetection at telecommunication wavelengthsLin, K.-T.; Chen, H.-L.; Lai, Y.-S.; Yu, C.-C.; HSUEN-LI CHEN Nature Communications
452014Enhanced efficiency of silicon-based solar cell by surface plasmon resonance effects over device electrodeLin, K.-T.; Chi, Y.-M.; Chen, H.-L.; Tseng, S.-C.; Chang, H.-M.; Liao, Y.-C.; Chen, S.-H.; Lai, Y.-S.; HSUEN-LI CHEN Proceedings of AM-FPD 2014 - The 21st International Workshop on Active-Matrix Flatpanel Displays and Devices: TFT Technologies and FPD Materials
462014Highly reflective liquid mirrors: Exploring the effects of localized surface plasmon resonance and the arrangement of nanoparticles on metal liquid-like filmsYen, Y.-T.; Lu, T.-Y.; Lee, Y.-C.; Yu, C.-C.; Tsai, Y.-C.; Tseng, Y.-C.; Chen, H.-L.; HSUEN-LI CHEN ACS Applied Materials and Interfaces
472014Preparing wafer-scale omnidirectional broadband light-harvesting nanostructures in a few secondsChen, Y.-C.; Su, P.-Y.; Tseng, S.-C.; Lee, Y.-C.; Chen, H.-L.; HSUEN-LI CHEN Journal of Materials Chemistry A
482014Nanocrystallized CdS beneath the surface of a photoconductor for detection of UV light with picowatt sensitivityLin, K.-T.; Chen, H.-L.; Lai, Y.-S.; Liu, Y.-L.; Tseng, Y.-C.; Lin, C.-H.; HSUEN-LI CHEN ACS Applied Materials and Interfaces
492014Nondestructive characterization of the structural quality and thickness of large-area graphene on various substratesLiu Y.-L.; Yu C.-C.; Lin K.-T.; Wang E.-Y.; Yang T.-C.; Chen H.-L.; Chen C.-W.; Chang C.-K.; Chen L.-C.; CHUN-WEI CHEN ; HSUEN-LI CHEN ; Chen, L.-C. Analytical Chemistry88
502013Simulations of light extraction and light propagation properties of light emitting diodes featuring silicon carbide substratesLee, Y.-C.; Lu, T.-Y.; Lai, Y.-H.; Chen, H.-L.; Ma, D.-L.; Lee, C.-C.; Cheng, S.-C.; HSUEN-LI CHEN Optical Materials
512013Optimizing surface plasmon resonance effects on finger electrodes to enhance the efficiency of silicon-based solar cellsChi, Y.-M.; Chen, H.-L.; Lai, Y.-S.; Chang, H.-M.; Liao, Y.-C.; Cheng, C.-C.; Chen, S.-H.; Tseng, S.-C.; Lin, K.-T.; HSUEN-LI CHEN Energy and Environmental Science
522013Rapidly characterize structural qualities of large-area graphene by optical anisotropyLiu, Y.-L.; Chen, H.-L.; Yu, C-C.; Fang, C.-Y.; HSUEN-LI CHEN Proceedings of the IEEE Conference on Nanotechnology
532013Alignment of stretchable nanoparticle chains with tunable optical properties formed from molecular machineryLin, M.-Y.; Lu, Y.-P.; Yang, Y.-S.; Chen, H.-L.; Yang, C.-H.; Grumezescu, A.M.; Wang, E.-C.; Lai, Y.-S.; HSUEN-LI CHEN Current Organic Chemistry
542013Nanoparticle stacks with graded refractive indices enhance the omnidirectional light harvesting of solar cells and the light extraction of light-emitting diodesFang, C.-Y.; Liu, Y.-L.; Lee, Y.-C.; Chen, H.-L.; Wan, D.-H.; Yu, C.-C.; HSUEN-LI CHEN Advanced Functional Materials
552013Ultrahigh-sensitivity CdS photoconductors with instant response and ultralow power consumption for detection in low-light environmentsLin, K.-T.; Tseng, S.-C.; Chen, H.-L.; Lai, Y.-S.; Chen, S.-H.; Tseng, Y.-C.; Chu, T.-W.; Lin, M.-Y.; Lu, Y.-P.; HSUEN-LI CHEN Journal of Materials Chemistry C
562013Ultralow reflection from a-Si nanograss/Si nanofrustum double layersRavipati, S.; Shieh, J.; Ko, F.-H.; Yu, C.-C.; Chen, H.-L.; HSUEN-LI CHEN Advanced Materials
572013Low pressure radio-frequency oxygen plasma induced oxidation of titanium - Surface characteristics and biological effectsWAN-YU TSENG ; Hsu, S.-H.; Huang, C.-H.; Tu, Y.-C.; Tseng, S.-C.; HSUEN-LI CHEN ; MIN-HUEY CHEN ; Su, W.-F.; LI-DEH LIN PLoS ONE88
582013Using optical anisotropy as a quality factor to rapidly characterize structural qualities of large-area graphene filmsLiu Y.-L.; Yu C.-C.; Fang C.-Y.; Chen H.-L.; Chen C.-W.; Kuo C.-C.; Chang C.-K.; Chen L.-C.; CHUN-WEI CHEN ; HSUEN-LI CHEN ; Chen, L.-C. Analytical Chemistry1212
592013Interactions between fluorescence of atomically layered graphene oxide and metallic nanoparticlesWang Y.; Li S.-S.; Yeh Y.-C.; Yu C.-C.; HSUEN-LI CHEN ; Li F.-C.; YU-MING CHANG ; CHUN-WEI CHEN Nanoscale87
602013Laser-induced jets of nanoparticles: Exploiting air drag forces to select the particle size of nanoparticle arraysTseng, S.-C.; Yu, C.-C.; Lin, D.-C.; Tseng, Y.-C.; HSUEN-LI CHEN ; Chen, Y.-C.; Chou, S.-Y.; LON A. WANG Nanoscale44
612012Eco-friendly plasmonic sensors: Using the photothermal effect to prepare metal nanoparticle-containing test papers for highly sensitive colorimetric detectionTseng, S.-C.; Yu, C.-C.; Wan, D.; HSUEN-LI CHEN ; LON A. WANG ; Wu, M.-C.; WEI-FANG SU ; Han, H.-C.; Chen, Li-Chyong Analytical Chemistry6459
622012Photosensized Controlling Benzyl Methacrylate-Based Matrix Enhanced Eu3+ Narrow-Band Emission for Fluorescence ApplicationsLee, Jiann-Fong; Chen, Hsuen-Li ; Lee, Geneh-Siang; Tseng, Shao-Chin; Lin, Mei-Hsiang; Liau, Wen-Bin International Journal of Molecular Sciences43
632012Broadband and wide angle antireflection of sub-20 nm GaAs nanograssRavipati, Srikanth; Shieh, Jiann; Ko, Fu-Hsiang; Yu, Chen-Chieh; Chen, Hsuen-Li ; Wu, Chia-Tien; Chen, Szu-HungEnergy & Environmental Science2725
642012Photosensitized growth of TiO2 nanoparticles improved the charge transfer dynamics of a bichromophoric dyeChen, Hsuenli ; Lee, Jiannfong; Tseng, Saochin; Lin, Meihsiang; WEN-BIN LIAU Journal of Luminescence55
652012Effects of Sludge and pH Adjustment on Cd Speciation in Soil and Growth and Cd Accumulation in Pak ChoiLu, C.-J.; Chiu, Y.-L.; Chen, H.-L.; Lai, H.-Y.; HSUEN-LI CHEN Soil and Sediment Contamination
662012Efficiency enhancement and sensitive broadband 1Hz ? 1kHz of power generator by recycling vibration energy on AutomobileWei, M.-Q.; Ko, F.-H.; Sun, T.-P.; Chen, H.-L.; Lin, Y.-B.; Gu, M.-H.; Chen, C.-C.; Li, M.; Liu, S.C.; Hsu, C.-L.; Chiu, W.-C.; Liu, J.-M.; Lai, Y.-S.; Ho, C.; HSUEN-LI CHEN Proceedings of IEEE Sensors
672012Optimizing textured structures possessing both optical gradient and diffraction properties to increase the extraction efficiency of light-emitting diodesHsu, C.-W.; Lee, Y.-C.; Chen, H.-L.; Chou, Y.-F.; HSUEN-LI CHEN Photonics and Nanostructures - Fundamentals and Applications
682012Dependence of nanocrystal dimensionality on the polymer nanomorphology, anisotropic optical absorption, and carrier transport in P3HT:TiO2 bulk heterojunctionsLin C.-C.; Ho P.-H.; Huang C.-L.; Du C.-H.; Yu C.-C.; Chen H.-L.; Yeh Y.-C.; Li S.-S.; Lee C.-K.; Pao C.-W.; Chang C.-P.; Chu M.-W.; CHUN-WEI CHEN ; HSUEN-LI CHEN ; Chu, M.-W. Journal of Physical Chemistry C1010
692012Using the nanoimprint-in-metal method to prepare corrugated metal structures for plasmonic biosensors through both surface plasmon resonance and index-matching effectsYu, C.-C.; Chen, H.-L.; Ho, K.-H.; Chuang, S.-Y.; Tseng, S.-C.; WEI-FANG SU ; HSUEN-LI CHEN Proceedings of IEEE Sensors00
702012Using the nanoimprint-in-metal method to prepare corrugated metal structures for plasmonic biosensors through both surface plasmon resonance and index-matching effectsYu, Chen-Chieh; Ho, Kuan-Hung; Chen, Hsuen-Li; Chuang, Shang-Yu; Tseng, Shao-Chin; Su, Wei-Fang; WEI-FANG SU ; HSUEN-LI CHEN Biosensors and Bioelectronics
712011Blue light-emitting and electron-transporting materials based on dialkyl-functionlized anthracene imidazophenanthrolinesLee, Jiann Fong; Chen, Yung Chung; Lin, Jiann T.Suen; CHUNG-CHIH WU ; CHIEN-YU CHEN ; CHI-AN DAI ; CHI-YANG CHAO ; HSUEN-LI CHEN ; WEN-BIN LIAU Tetrahedron2524
722011Use of simple annealing processes to prepare anisotropic iridium and iridium dioxide nanostructuresMa, Dai Liang; Chen, Hsuen Li CrystEngComm66
732011Exploiting optical anisotropy to increase the external quantum efficiency of flexible P3HT:PCBM blend solar cells at large incident anglesChuang, Shang-Yu; Yu, Chen-Chieh; Chen, Hsuen-Li; Su, Wei-Fang; Chen, Chun-Wei; WEI-FANG SU ; HSUEN-LI CHEN ; CHUN-WEI CHEN Solar Energy Materials and Solar Cells
742011Planting soybean in CD-, CU-, or ZN-contaminated soils to assess its feasibility in further producing biodieselLai, H.-Y.; Chen, B.-C.; Chen, H.-L.; Lu, C.-J.; Chen, Z.-S.; HSUEN-LI CHEN Biomass Crops: Production, Energy and the Environment
752011Using one-step, dual-side nanoimprint lithography to fabricate low-cost, highly flexible wave plates exhibiting broadband antireflectionYu, C.C.; Chen, Y.T.; Wan, D.H.; Chen, H.L.; Ku, S.L.; HSUEN-LI CHEN Journal of the Electrochemical Society88
762011Vapor phase growth of free-standing palladium nanorodsMa, Dai Liang; Chen, Hsuen Li Journal of Crystal Growth21
772011Absorptive and conductive cavity cathode with silver nanoparticles for low-reflection organic light-emitting devicesChiu, Tien-Lung; Lee, Jiun-Haw ; Hsiao, Yi-Peng; Lin, Chi-Feng; Chao, Chun-Chieh; Leung, Man-Kit ; Wan, De-Hui; Chen, Hsuen-Li ; Ho, Hsin-ChiaJournal of Physics D Applied Physics1212
782011Top laminated graphene electrode in a semitransparent polymer solar cell by simultaneous thermal annealing/releasing methodLee Y.-Y.; Tu K.-H.; Yu C.-C.; Li S.-S.; Hwang J.-Y.; Lin C.-C.; Chen K.-H.; Chen L.-C.; Chen H.-L.; CHUN-WEI CHEN ; HSUEN-LI CHEN ; Chen, L.-C. ACS Nano195175
792011Electric field-assisted self-organization of polymer:fullerene hybrids on the photovoltaic performanceLin, Chih-Cheng; Lin, Yun-Yue; Li, Shao-Sian; Yu, Chen-Chieh; Huang, Chi-Liang; Lee, Shun-Han; Du, Chao-Hung; Lee, Jey-Jau; Chen, Hsuen-Li ; Chen, Chun-Wei Energy & Environmental Science3539
802011A permanent optical storage medium exhibiting ultrahigh contrast, superior stability, and a broad working wavelength regimeTseng, Shao-Chin; Chen, Hsuen-Li ; Liu, Haw-Woei; Yu, Chen-Chieh; Wang, Lon Alex; Chen, Yung-PinPhysical Chemistry Chemical Physics33
812011Using intruded gold nanoclusters as highly active catalysts to fabricate silicon nanostalactite structures exhibiting excellent light trapping and field emission propertiesTseng, Shao-Chin; Chen, Hsuen-Li ; Yu, Chen-Chieh; Lai, Yu-Sheng; Liu, Haw-WoeiEnergy & Environmental Science129
822010Iridescence of Patterned Carbon Nanotube Forests on Flexible Substrates: From Darkest Materials to Colorful FilmsHsieh, Kun-Che; Tsai, Tsung-Yen; Wan, Dehui; Chen, Hsuen-Li ; Tai, Nyan-HwaACS Nano3735
832010Antireflective Nanoparticle Arrays Enhance the Efficiency of Silicon Solar CellsWan, Dehui; Chen, Hsuen-Li ; Tseng, Te-Chen; Fang, Cheng-Yi; Lai, Yu-Shen; Yeh, Fang-YaoAdvanced Functional Materials6460
842010Use of simple thermal annealing processes to prepare free-standing platinum rodsMa, Dai Liang; Chen, Hsuen Li CrystEngComm22
852010Efficiency enhancement and polarization detection capability of photodiode by accumulating local electric field on the metal electrodesLai, Y.-S.; Chen, H.-L.; Lin, C.H.; Lin, H.-C.; Chen, S.-H.; Chang, H.M.; Tseng, S.C.; Chi, Y.M.; Ho, C.; Yang, F.-L.; HSUEN-LI CHEN Technical Digest - International Electron Devices Meeting, IEDM
862010Effect of biosolids and Cd/Pb interaction on the growth and Cd accumulation of brassica rapa grown in cd-contaminated soilsChen, H.-L.; Lai, H.-Y.; Wang, S.-M.; Kuo, Y.-C.; Lu, C.-J.; HSUEN-LI CHEN Water, Air, and Soil Pollution
872010Using KrF laser to induce photomodification of hollow metal nanoparticles for optical data storageWan, D.H.; Tseng, S.C.; Chen, H.L.; Wang, L.A.; Chen, Y.-P.; HSUEN-LI CHEN Technical Digest - 15th OptoElectronics and Communications Conference, OECC2010
882010Amendments of activated carbon and biosolid on the growth and cadmium uptake of soybean grown in potted Cd-contaminated soilsChen, H.-L.; Lu, C.-J.; Lai, H.-Y.; HSUEN-LI CHEN Water, Air, and Soil Pollution
892010Nanoscale of biomimetic moth eye structures exhibiting inverse polarization phenomena at the Brewster angleChuang, Shang-Yu; Chen, Hsuen-Li ; Shieh, Jiann; Lin, Chun-Hung; Cheng, Chao-Chia; Liu, Hao-Wei; Yu, Chen-ChiehNanoscale4344
902010Use of Reversal Nanoimprinting of Nanoparticles to Prepare Flexible Waveguide Sensors Exhibiting Enhanced Scattering of the Surface Plasmon ResonanceWan, Dehui; Chen, Hsuen-Li ; Lai, Yu-Ting; Yu, Chen-Chieh; Lin, King-FuAdvanced Functional Materials1414
912010Using patterned carbon nanotube films with optical anisotropy to tune the diffracted color from flexible substratesHsieh, K.C.; Tsai, T.Y.; Wan, D.H.; Chen, H.L. ; Tai N.H.Carbon77
922010Exploiting optical properties of P3HT:PCBM films for organic solar cells with semitransparent anodeLee, W.H.; Chuang, S.Y.; Chen, H.L.; Su, W.F.; WEI-FANG SU ; HSUEN-LI CHEN Thin Solid Films6866
932010Robust Airlike Superhydrophobic SurfacesShieh, Jiann; Hou, Fu Ju; Chen, Yan Chen; Chen, Hung Min; Yang, Shun Po; Cheng, Chao Chia; Chen, Hsuen Li Advanced Materials131126
942009One-Shot Deep-UV–Pulsed Laser-Induced Photomodification of Hollow Metal Nanoparticles for High Density Data Storage on Flexible SubstratesWan, Dehui; Chen, Hsuen-Li ; Tseng, Shao-Chin; Wang, Lon A.; Chen, Yung-Pin; LON A. WANG ACS Nano2423
952009Extraordinary transmittance in three-dimensional crater, pyramid, and hole-array structures prepared through reversal imprinting of metal filmsChen, H.L.; Chuang, S.Y.; Lee, W.H.; Kuo, S.S.; Su, W.F.; Ku, S.L.; WEI-FANG SU ; HSUEN-LI CHEN Optics Express1716
962009Using spectroscopic ellipsometry to characterize and apply the optical constants of hollow gold nanoparticlesWan, D.; Chen, H.-L.; Lin, Y.-S.; Chuang, S.-Y.; Shieh, J.; Chen, S.-H.; HSUEN-LI CHEN ACS Nano
972009Reduction of polarization and swing effects in a high numerical aperture exposure system by utilizing resist antireflective coatingsChen, H.L.; Lee, W.H.; Fan, W.; Chuang, S.Y.; Lai, Y.H.; Lee, C.C.; HSUEN-LI CHEN Microelectronic Engineering
982009Two-dimensional inverse opal ZnO nanorod networks with photonic band gapChang, Y.-C.; Wu, H.-W.; Chen, H.-L.; Wang, W.-Y.; Chen, L.-J.; HSUEN-LI CHEN Journal of Physical Chemistry C
992009Thermal-flow techniques for sub-35 nm contact-hole fabrication using Taguchi method in electron-beam lithographyLi, T.-S.; Chen, S.-H.; Chen, H.-L.; HSUEN-LI CHEN Microelectronic Engineering
1002009Use of the carbothermal route to prepare anisotropic single-crystal platinum nanostructures with low resistivityMa, D.-L.; Chen, H.-L.; HSUEN-LI CHEN Crystal Growth and Design
1012009Quantitative nanoscale monitoring the effect of annealing process on the morphology and optical properties of poly(3-hexylthiophene)/[6,6]-phenyl C <inf>61</inf> -butyric acid methyl ester thin film used in photovoltaic devicesHuang, Y.-C.; Chuang, S.-Y.; Wu, M.-C.; Chen, H.-L.; Chen, C.-W.; WEI-FANG SU ; CHUN-WEI CHEN ; HSUEN-LI CHEN Journal of Applied Physics4232
1022009Regioregularity effects in the chain orientation and optical anisotropy of composite polymer/fullerene films for high-efficiency, large-area organic solar cellsChuang, S.-Y.; Chen, H.-L.; Lee, W.-H.; Huang, Y.-C.; Su, W.-F.; Jen, W.-M.; WEI-FANG SU ; CHUN-WEI CHEN ; HSUEN-LI CHEN Journal of Materials Chemistry4242
1032009Extended red light harvesting in a poly(3-hexylthiophene)/iron disulfide nanocrystal hybrid solar cellLin Y.-Y.; Wang D.-Y.; Yen H.-C.; Chen H.-L.; Chen C.-C.; Chen C.-M.; Tang C.-Y.; CHUN-WEI CHEN ; HSUEN-LI CHEN Nanotechnology8482
1042008利用自行衍生及表面電漿效應開發高效率太陽能電池陳學禮 
1052008具奈米結構之光學薄膜在光能最佳化利用之研究 (新制多年期第2年)陳學禮 
1062008利用奈米粒子及表面電漿效應開發奈米結構太陽能電池陳學禮 
1072008Using optical thin film model to optimize thermal annealing procedure in P3HT:PCBM blend based solar cellsLee, W.H.; Chen, H.L.; Chuang, S.Y.; Chen, T.H.; WEI-FANG SU ; HSUEN-LI CHEN Proceedings of SPIE - The International Society for Optical Engineering20
1082008Low reflection and photo-sensitive organic light-emitting device with perylene diimide and double-metal structureChiu, Tien-Lung; Chuang, Kai-Hsiang; Lin, Chi-Feng; Ho, Yu-Hsuan; Lee, Jiun-Haw ; Chao, Chun-Chieh; Leung, Man-Kit ; Wan, De-Hui; Li, Cheng-Yu; Chen, Hsuen-Li Thin Solid Films2516
1092008Two-dimensional metallic nanobowl array transferred onto thermoplastic substrates by microwave heating of carbon nanotubesChen, Tsung-Han; Tsai, Tsung-Yen; Hsieh, Kun-Che; Chang, Shih-Chin; Tai, Nyan-Hwa; Chen, Hsuen-Li Nanotechnology 
1102008Using self-assembled nanoparticles to fabricate and optimize subwavelength textured structures in solar cellsWan, D.H.; Chen, H.L.; Yu, C.C.; Lee, Y.C.; HSUEN-LI CHEN Proceedings of SPIE - The International Society for Optical Engineering
1112008Active modulation of surface plasmon resonance wavelengths by applying an electric field to gold nanoparticle-embedded ferroelectric filmsHsieh, K.C.; Chen, H.L.; Wan, D.H.; Shieh, J.; HSUEN-LI CHEN Journal of Physical Chemistry C
1122008Using direct nanoimprinting of ferroelectric films to prepare devices exhibiting bi-directionally tunable surface plasmon resonancesChen, H.L.; Hsieh, K.C.; Lin, C.H.; Chen, S.H.; HSUEN-LI CHEN Nanotechnology
1132008Fabrication of autocloned photonic crystals using electron-beam guns with ion-assisted depositionChang, T.-H.; Chen, S.-H.; Lee, C.-C.; Chen, H.-L.; HSUEN-LI CHEN Thin Solid Films
1142008Using Self-Assembled nanoparticles to fabricate and optimize subwavelength textured structures in solar cellsWan, D.H.; Chen, H.L.; Chuang, S.Y.; Yu, C.C.; Lee, Y.C.; HSUEN-LI CHEN Journal of Physical Chemistry C
1152008Using direct nanoimprinting to study extraordinary transmission in textured metal filmsChuang, S.Y.; Chen, H.L.; Kuo, S.S.; Lai, Y.H.; Lee, C.C.; HSUEN-LI CHEN Optics Express
1162007具奈米結構之光學薄膜在光能最佳化利用之研究 (新制多年期第1年)陳學禮 
1172007Fabrication of sub-wavelength antireflective structures in solar cells by utilizing modified illumination and defocus techniques in optical lithographyChen, H.L.; Huang, K.T.; Lin, C.H.; Wang, W.Y.; Fan, W.; HSUEN-LI CHEN Microelectronic Engineering
1182007Fabrication of gold-nanoparticle-infiltrated inverse opal structures with both photonic bandgap and surface plasmon resonance characteristicsChen, H.L.; Lin, Y.H.; Chuang, S.Y.; Wan, D.H.; Lin, C.H.; HSUEN-LI CHEN Digest of Papers - Microprocesses and Nanotechnology 2007; 20th International Microprocesses and Nanotechnology Conference, MNC
1192007Using colloidal lithography to fabricate and optimize sub-wavelength pyramidal and honeycomb structures in solar cellsChen, H.L.; Chuang, S.Y.; Lin, C.H.; Lin, Y.H.; HSUEN-LI CHEN Optics Express
1202007Influence of the mask magnification on imaging in hyper-NA lithographyLin, Chun-Hung; Chen, Hsuen-Li ; Ko, Fu-HsiangJournal of the Optical Society of America A-Optics Image Science and
1212007Rigorous electromagnetic simulation of mask magnification effects on the diffracted light for EUV binary maskLin, Chun-Hung; Chen, Hsuen-Li ; Ko, Fu-HsiangMicroelectronic Engineering3
1222006Characterizing Optical Properties of Self-Assembled Gold Nanoparticles for Surface Plasmon Resonance Device ApplicationsChen, Hsuen-Li ; Cheng, Hsu-Chun; Ko, Tsung-Shine; Chuang, Shang-Yu; Chu, Tien-ChiJapanese Journal of Applied Physics9
1232006Fabrication of texturing antireflection structures in solar cells by using the defocusing exposure in optical lithographyChen, H.L.; Fan, W.; Cheng, C.C.; Lin, C.H.; Huang, K.T.; HSUEN-LI CHEN Journal of the Electrochemical Society
1242006Fabrication of nanoscale PtO<inf>x</inf>/PZT/PtO<inf>x</inf> capacitors by e-beam lithography and plasma etching with photoresist maskHuang, C.-K.; Chen, Y.-H.; Liang, Y.-C.; Wu, T.-B.; Chen, H.-L.; Chao, W.-C.; HSUEN-LI CHEN Electrochemical and Solid-State Letters
1252006Directly patterning metal films by nanoimprint lithography with low-temperature and low-pressureChen, H.L.; Chuang, S.Y.; Cheng, H.C.; Lin, C.H.; Chu, T.C.; HSUEN-LI CHEN Microelectronic Engineering
1262006Directly patterning ferroelectric films by nanoimprint lithography with low temperature and low pressureHsieh, K.C.; Chen, H.L.; Lin, C.H.; Lee, C.Y.; HSUEN-LI CHEN Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures
1272006Optical Characterization of Two-dimensional Photonic Crystals Based on Spectroscopic Ellipsometry with Rigorous Coupled-Wave AnalysisLin, Chun-Hung; Chen, Hsuen-Li ; Chao, Wen-Chi; Hsieh, Chung-I; Chang, Wen-HueiMicroelectronic Engineering32
1282006Fabrication of Nanoscale PtOx/PZT/PtOx Capacitors by E-beam Lithography and Plasma Etching with Photoresist MaskHuang, Chun-Kai; Chen, Yen-Hua; Liang, Yuan-Chang; Wu, Tai-Bor; Chen, Hsuen-Li ; Chao, Wen-ChiElectrochemical and Solid State Letter 
1292006Using N-Type Organic Material with Photoconductivity for Low Reflectance OLEDsChuang, Kai-Hsiang; Ho, Yu-Hsuan; Lee, Jiun-Haw ; Chao, Chun-Chieh; Leung, Man-Kit ; Li, Cheng-Yu; HSUEN-LI CHEN Proceedings of SPIE10
1302005Porous Materials with Ultralow Optical Constants for Integrated Optical Device ApplicationsChen, Hsuen-Li ; Hsieh, Chung-I; Cheng, Chao-Chia; Chang, Chia-Pin; Hsu, Wen-Hau; Wang, Way-Seen; Liu, Po-TsunJapanese Journal of Applied Physics2
1312005Rapidly selective growth of nanoparticles by electron-beam and optical lithographies with chemically amplified resistsChen, H.L.; Chu, Y.H.; Kuo, C.I.; Liu, F.K.; Ko, F.H.; Chu, T.C.; HSUEN-LI CHEN Electrochemical and Solid-State Letters
1322005利用奈米製程與奈米材料製作三維光子晶體陳學禮 
1332005Resonant-wavelength tuning of microring filters by oxygen plasma treatmentWang, Tzyy-Jiann; Huang, Yen-Hao; Chen, Hsuen-Li Photonics Technology Letters 
1342005Fabrication of Silicon and Germanium Nanostructures by Combination of Hydrogen Plasma Dry Etching and VLS MechanismYang, Ming-Che; Shieh, Jiann; Ko, Tsung-Shine; Chen, Hsuen-Li ; Chu, Tieh-ChiJapanese Journal of Applied Physics2
1352004Single-mode polymer optical waveguides fabricated by electron beam direct writingHsu, C. W.; Wang, W. S.; HSUEN-LI CHEN ; Chao, W. C.Optics InfoBase Conference Papers0
1362004Room temperature operation of a coulomb blockade sensor fabricated by self-assembled gold nanoparticles using deoxyribonucleic acid hybridizationChen, C.-C.; Tsai, C.-Y.; Ko, F.-H.; Pun, C.-C.; Chen, H.-L.; PING-HEI CHEN ; HSUEN-LI CHEN Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers910
1372004Optical properties of two-dimensional photonic-bandgap crystals characterized by spectral ellipsometryHsieh, C.I.; Chen, H.L.; Chao, W.C.; Ko, F.H.; HSUEN-LI CHEN Microelectronic Engineering
1382004Fabrication of autocloned photonic crystals by using high-density-plasma chemical vapor depositionChen, H.L.; Lee, H.F.; Chao, W.C.; Hsieh, C.I.; Ko, F.H.; Chu, T.C.; HSUEN-LI CHEN Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures
1392004Nanoparticle-assisted growth of porous germanium thin filmsShieh, J.; Chen, H.L.; Ko, T.S.; Cheng, H.C.; Chu, T.C.; HSUEN-LI CHEN Advanced Materials
1402004Fabrication of sub-60-nm contact holes in silicon dioxide layersKo, F.-H.; You, H.-C.; Chu, T.-C.; Lei, T.-F.; Hsu, C.-C.; Chen, H.-L.; HSUEN-LI CHEN Microelectronic Engineering
1412004Characterizing optical constants of thin films for vacuum ultraviolet lithography applicationsFan, W.; Chen, H.-L.; Wu, C.-L.; Chang-Liao, K.-S.; HSUEN-LI CHEN Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers
1422004Surface plasmon resonance waveguide biosensor by bipolarization wavelength interrogationWang, T.-J.; Tu, C.-W.; Liu, F.-K.; Chen, H.-L.; HSUEN-LI CHEN IEEE Photonics Technology Letters
1432004Highly efficient microwave-assisted purification of multiwalled carbon nanotubesKo, C.-J.; Lee, C.-Y.; Ko, F.-H.; Chen, H.-L.; Chu, T.-C.; HSUEN-LI CHEN Microelectronic Engineering
1442004Enhanced extreme ultraviolet lithography mask inspection contrast using fabry-perot type antireflective coatingCheng, H.-C.; Chen, H.-L.; Ko, T.-S.; Lai, L.-J.; Ko, F.-H.; Chu, T.-C.; HSUEN-LI CHEN Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers
1452004Low-temperature growth of germanium quantum dots on Silicon Oxide by inductively coupled plasma CVDShieh, J.; Ko, T.S.; Chen, H.L.; Dai, B.T.; Chu, T.C.; HSUEN-LI CHEN Chemical Vapor Deposition
1462004High reflectance of reflective-type attenuated-phase-shifting masks for extreme ultraviolet lithography with high inspection contrast in deep ultraviolet regimesChen, H.L.; Cheng, H.C.; Ko, T.S.; Ko, F.H.; Chu, T.C.; HSUEN-LI CHEN Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures
1472004Optical-Gradient Antireflective Coatings for 157-nm Optical Lithography ApplicationsChen, Hsuen-Li ; Fan, Wonder; Wang, Tzyy-Jyann; Ko, Fu-Hsiung; Zhai, Run-Sheng; Hsu, Chien-Kui; Chuang, Tung-JungApplied Optics3
1482004Enhanced Extreme Ultraviolet Lithography Mask Inspection Contrast Using Fabry-Perot Type Antireflective CoatingCheng, Hsu-Chun; Chen, Hsuen-Li ; Ko, Tsung-Shine; Lai, Lee-Jene; Ko, Fu-Hsiang; Chu, Tieh-ChiJapanese Journal of Applied Physics 
1492004利用奈米製程與奈米材料作為太陽能電池之抗反射技術研發(I)陳學禮 
1502004Characterization of Benzocyclobutene Optical Waveguides Fabricated by Electron-Beam Direct WritingHsu, Chih-Wei; Chen, Hsuen-Li ; Chao, Wen-Chi; Wang, Way-SeenMicrowave and Optical Technology Letters 
1512004Room Temperature Operation of Coulomb Blockade Sensor Fabricated by the Self-Assembled Gold Nnaoparticles utilizing DNA HybridizationChen, Chun-Chi; Tsai, Chien-Ying; Ko, Fu-Hsiang; Pun, Chung-Ching; Chen, Hsuen-Li ; Chen, Ping-Hei Japanese Journal of Applied Physics
1522004Characterizing Optical Constants of Thin Films for Vacuum Ultraviolet Lithography ApplicationsFan, Wonder; Chen, Hsuen-Li ; Wu, Chi-Lung; Chang-Liao, Kuei-ShuJapanese Journal of Applied Physics 
1532003Transmission enhancement of scale-varied hole arraysHsu, A. C.; Cheng, Y. K.; Chen, K. H.; Chern, J. L.; Wu, S. C.; HSUEN-LI CHEN ; Chiao, W. C.Optics InfoBase Conference Papers0
1542003Far-Infrared Absorption of Split Ring Resonators: negative permeability at infrared regionHsu, A. C.; Cheng, Y. K.; Chen, K. H.; Chern, J. L.; Wu, S. C.; HSUEN-LI CHEN ; Chiao, W. C.; ALISON H CHANG; Liean, C. C.; Shy, J. T.Optics InfoBase Conference Papers0
1552003High efficiency purification method for multi-walled carbon nanotubesKo, C.-J.; Lee, C.-Y.; Ko, F.-H.; Chen, H.L.; Chu, T.C.; HSUEN-LI CHEN Digest of Papers - Microprocesses and Nanotechnology 2003 - 2003 International Microprocesses and Nanotechnology Conference, MNC 2003
1562003Enhance Extreme UltraViolet Lithography mask inspection contrast by using Fabry-Perot type antireflective coatingsCheng, H.C.; Chen, H.L.; Ko, T.S.; Lai, L.J.; Ko, F.H.; Chu, T.C.; HSUEN-LI CHEN Digest of Papers - Microprocesses and Nanotechnology 2003 - 2003 International Microprocesses and Nanotechnology Conference, MNC 2003
1572003Wavelength trimming of integrated-optic microring filters by plasma treatmentWang, T.-J.; Huang, Y.-H.; Chen, H.-L.; HSUEN-LI CHEN Pacific Rim Conference on Lasers and Electro-Optics, CLEO - Technical Digest
1582003Fabry-Perot type antireflective coatings for binary mask applications in ArF and F <inf>2</inf> excimer laser lithographiesChen, H.L.; Lee, C.C.; Chuang, Y.F.; Liu, M.C.; Hsieh, C.I.; Ko, F.H.; HSUEN-LI CHEN Pacific Rim Conference on Lasers and Electro-Optics, CLEO - Technical Digest
1592003Patterning of self-assembled nanoparticles by electron-beam lithography with chemically amplified resistsKuo, C.I.; Chen, H.L.; Chu, Y.H.; Liu, F.K.; Ko, F.H.; Chu, T.C.; HSUEN-LI CHEN Digest of Papers - Microprocesses and Nanotechnology 2003 - 2003 International Microprocesses and Nanotechnology Conference, MNC 2003
1602003Porous materials with ultra-low dielectric constant as antireflective coating layers for F 2 and ArF lithographyChen, H.L.; Tu, C.W.; Wang, T.J.; Liu, P.T.; Ko, F.H.; Chung, T.C.; HSUEN-LI CHEN Digest of Papers - Microprocesses and Nanotechnology 2003 - 2003 International Microprocesses and Nanotechnology Conference, MNC 2003
1612003Optical-gradient type of antireflective coatings for sub-70 nm optical lithography applicationsChen, H.L.; Fan, W.; Wang, T.J.; Ko, F.H.; Zhai, R.S.; Hsu, C.K.; Chuang, T.J.; HSUEN-LI CHEN Pacific Rim Conference on Lasers and Electro-Optics, CLEO - Technical Digest
1622003Characterized optical constants of thin films for vacuum ultraviolet lithography applicationsFan, W.; Chen, H.L.; Wu, C.L.; Chang, L.K.S.; HSUEN-LI CHEN Digest of Papers - Microprocesses and Nanotechnology 2003 - 2003 International Microprocesses and Nanotechnology Conference, MNC 2003
1632003Fabry-Perot type antireflective coatings for binary mask applications in ArF and F<inf>2</inf>excimer laser lithographiesChen, H.L.; Lee, C.C.; Chuang, Y.F.; Liu, M.C.; Hsieh, C.I.; Ko, F.H.; HSUEN-LI CHEN Electrochemical and Solid-State Letters
1642003Solution-based silver nanowires filling into carbon nanotubes by microwave heating technologyLee, C.-Y.; Ko, C.-J.; Ko, F.-H.; Chen, H.-L.; Chu, T.-C.; HSUEN-LI CHEN Digest of Papers - Microprocesses and Nanotechnology 2003 - 2003 International Microprocesses and Nanotechnology Conference, MNC 2003
1652003Band-gap dependence of field emission from one-dimensional nanostructures grown on n-type and p-type silicon substratesChang, S.; Chattopadhyay, S.; Chen, C.; Chen, H.; Chen, W.; Chen, F.; Collazo, R.; HSUEN-LI CHEN ; YANG-FANG CHEN Physical Review B - Condensed Matter and Materials Physics4842
1662003Compact Y-branch Power Splitter Based on Simplified Coherent CouplingHsu, Chih-Wei; Chen, Hsuen-Li ; Wang, Way-SeenIEEE Photonics Technology Letters 
1672003Diluted low dielectric constant materials as bottom antireflective coating layers for both KrF and ArF lithography processesHSUEN-LI CHEN ; Chao W.-C; Ko F.-H; Chu T.-C; Cheng H.-C.Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers44
1682003Low alkaline contamination bottom antireflective coatings for both 193- and 157-nm lithography applicationsChen, H.L.; Chuang, Y.F.; Lee, C.C.; Hsieh, C.I.; Ko, F.H.; LON A. WANG ; HSUEN-LI CHEN Microelectronic Engineering00
1692002Metal characterization and process enhancement techniques for photolithographic materialsKo, F.-H.; Chen, H.-L.; Hsu, C.-C.; Chu, T.-C.; HSUEN-LI CHEN Proceedings of SPIE-The International Society for Optical Engineering
1702002Nanometer-size PMMA polymer network synthesis by gold nanoparticles templateLiu, F.-K.; Hsieh, S.-Y.; Ker, C.-J.; Chen, H.-L.; Kol, F.-H.; Chu, T.-C.; Dail, B.-T.; HSUEN-LI CHEN 2002 International Microprocesses and Nanotechnology Conference, MNC 2002
1712002Highly effective chemical route for the preparation of uniform nanometer gold particles by microwave irradiationLiu, F.-K.; Ker, C.-J.; Ko, F.-H.; Chen, H.-L.; Dai, B.-T.; HSUEN-LI CHEN 2002 International Microprocesses and Nanotechnology Conference, MNC 2002
1722002Nano-scale implantless Schottky-barrier SOI FinFETs with excellent ambipolar performanceLin, H.-C.; Wang, M.-F.; Hou, F.-J.; Liu, J.-T.; Ko, F.-H.; Chen, H.-L.; Huang, G.-W.; Huang, T.-Y.; Sze, S.M.; HSUEN-LI CHEN Device Research Conference - Conference Digest, DRC
1732002Diluted low dielectric constant materials as bottom antireflective coating layers for both KrF and ArF lithographyChen, H.L.; Chao, W.C.; Ko, F.H.; Chu, T.C.; Cheng, H.C.; HSUEN-LI CHEN 2002 International Microprocesses and Nanotechnology Conference, MNC 2002
1742002Mechanism and modeling of ring pattern formation for electron beam exposure on zwitterresistChen, J.-K.; Ko, F.-H.; Chang, F.-C.; Chen, H.-L.; HSUEN-LI CHEN 2002 International Microprocesses and Nanotechnology Conference, MNC 2002
1752002Fabry-Perot-type antireflective coating for deep-ultraviolet binary photomask applicationsChen, H.-L.; Chu, T.-C.; Hsu, C.-K.; Ko, F.-H.; Huang, T.-Y.; HSUEN-LI CHEN Applied Optics
1762002Novel bilayer bottom antireflective coating structure for high-performance ArF lithography applicationsChen, H.L.; Chao, W.C.; Ko, F.H.; Chu, T.C.; Huang, T.Y.; HSUEN-LI CHEN Journal of Microlithography, Microfabrication and Microsystems
1772002Direct impurity analysis of semiconductor photoresist samples with laser ablation ICP-MSChi, P.-H.; Ko, F.-H.; Hsu, C.-T.; Chen, H.-L.; Yang, C.-K.; Sun, Y.-C.; Yang, M.-H.; HSUEN-LI CHEN Journal of Analytical Atomic Spectrometry
1782002Low-dielectric constant FLARE 2.0 films for bottom antireflective coating layers in KrF lithographyChen, H.L.; Cheng, H.C.; Li, M.Y.; Ko, F.H.; Huang, T.Y.; Chu, T.C.; HSUEN-LI CHEN Solid-State Electronics
1792002Multilayer bottom antireflective coatings for high numerical aperture and modified illumination exposure systemsChen, H.L.; Fan, W.; Wang, T.J.; Ko, F.H.; Hsieh, C.I.; HSUEN-LI CHEN 2002 International Microprocesses and Nanotechnology Conference, MNC 2002
1802002Patterning of nanoscale Si lines using e-beam lithography and high-selectivity plasma etchingHou, F.-J.; Lin, H.-C.; Chen, H.-L.; Liu, J.-T.; Pan, C.-T.; Ko, F.-H.; Wang, M.-F.; Huang, T.-Y.; HSUEN-LI CHEN 2002 International Microprocesses and Nanotechnology Conference, MNC 2002
1812002Multi-layer bottom antireflective coating structures for high NA ArF exposure system applicationsChen, H.L.; Fan, W.; Wang, T.J.; Huang, T.Y.; HSUEN-LI CHEN Proceedings of SPIE-The International Society for Optical Engineering
1822002Thermal-flow techniques for sub-35 nm contact-hole fabrication in electron-beam lithographyChen, H.L.; Chen, C.H.; Ko, F.H.; Chu, T.C.; Pan, C.T.; Lin, H.C.; HSUEN-LI CHEN Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures
1832002Low alkaline contamination bilayer bottom antireflective coatings in F<inf>2</inf> excimer laser lithographyChen, H.L.; Chuang, Y.F.; Lee, C.C.; Ko, F.H.; Hsieh, C.I.; Huang, T.Y.; HSUEN-LI CHEN Electrochemical and Solid-State Letters
1842002Low dielectric constant polymer materials as bottom antireflective coating layers for both KrF and ArF lithographyHSUEN-LI CHEN ; Cheng H.-C; Ko F.-H; Chu T.-C; Huang T.-Y.Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers11
1852002Reduction substrate alkaline contamination by utilizing multi-layer bottom antireflective coating structures in ArF lithographyHSUEN-LI CHEN ; Shih M.-C; Hsieh C.-F; Chen B.-C; Ko F.-H.Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers00
1862001Direct patterning on low dielectric constant materials with electron beam lithographyChen, B.-C.; Lai, Y.-K.; Ko, F.-H.; Chou, C.-T.; Chen, H.-L.; HSUEN-LI CHEN 2001 International Microprocesses and Nanotechnology Conference, MNC 2001
1872001Reduction of substrate alkaline contamination by utilizing multi-layer bottom antireflective coating structures in ArF lithographyChen, H.L.; Shih, M.C.; Hsieh, C.F.; Chen, B.C.; Ko, F.H.; HSUEN-LI CHEN 2001 International Microprocesses and Nanotechnology Conference, MNC 2001
1882001Thermal flow and chemical shrink techniques for sub-100 nm contact hole fabrication in electron beam lithographyChen, H.L.; Ko, F.H.; Li, L.S.; Hsu, C.K.; Chen, B.C.; Chu, T.C.; Huang, T.Y.; HSUEN-LI CHEN 2001 International Microprocesses and Nanotechnology Conference, MNC 2001
1892001Low dielectric constant SILK films as bottom antireflective coating layers for both KrF and ArF lithographyChen, H.L.; Ko, F.H.; Chu, T.C.; Cheng, H.C.; Huang, T.Y.; HSUEN-LI CHEN 2001 International Microprocesses and Nanotechnology Conference, MNC 2001
1902001Study of microwave digestion kinetics and establishment of a model for digestion efficiency predictionKo, F.-H.; Chen, H.-L.; HSUEN-LI CHEN Journal of Analytical Atomic Spectrometry
1912001A Fabry-Perot type anti-reflective coating for deep ultraviolet binary photomask applicationChen, H.L.; Hsu, C.K.; Chen, B.C.; Ko, F.H.; Huang, T.Y.; Chu, T.C.; HSUEN-LI CHEN Proceedings of SPIE - The International Society for Optical Engineering
1922001Study of cladding layer for photonic integrated circuitsHsu, C.W.; Wang, W.S.; Chen, H.L.; Wang, T.J.; Huang, Y.H.; HSUEN-LI CHEN Proceedings of SPIE - The International Society for Optical Engineering
1932001Low dielectric constant FLARE 2.0 films as bottom antireflective coating layers for ArF lithographyChen, H.L.; Cheng, H.C.; Li, M.Y.; Ko, F.H.; Huang, T.Y.; Chu, T.C.; HSUEN-LI CHEN Proceedings of SPIE - The International Society for Optical Engineering
1942001Process development and impurities analysis for the bottom antireflective coating materialKo, F.-H.; Chen, H.-L.; Huang, T.-Y.; Cheng, H.-C.; Ko, C.-J.; Chu, T.-C.; HSUEN-LI CHEN Proceedings of SPIE - The International Society for Optical Engineering
1952001Multimode interference couplers using polymeric optical waveguidesHsu, C.W.; Chen, H.L.; Wang, W.S.; HSUEN-LI CHEN Proceedings of SPIE - The International Society for Optical Engineering
1962001Low-dielectric constant bisbenzo(cyclobutene) and fluorinated poly(arylene)ether films as bottom anti-reflective coating layers for ArF lithographyChen, H.L.; Chu, T.C.; Li, M.Y.; Ko, F.H.; Cheng, H.C.; Huang, T.Y.; HSUEN-LI CHEN Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures
1972001Studies of chemically amplified deep UV resists for electron beam lithography applicationsChen, H.L.; Hsu, C.K.; Chen, B.C.; Ko, F.H.; Yang, J.Y.; Huang, T.Y.; Chu, T.C.; HSUEN-LI CHEN Proceedings of SPIE - The International Society for Optical Engineering
1982000Novel bottom antireflective coating working for both KrF and ArF excimer laser lithographyHSUEN-LI CHEN ; LON A. WANG Microelectronic Engineering00
1992000Optimized bilayer hexamethyldisiloxane film as bottom antireflective coating for both KrF and ArF lithographiesLin, C.H.; HSUEN-LI CHEN ; LON A. WANG Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures65
2001999Hexamethyldisiloxane Film as the Bottom Antireflective Coating Layer for ArF Excimer Laser LithographyHSUEN-LI CHEN ; LON A. WANG Applied Optics1312
2011999Formation of Si nanoclusters in amorphous silicon thin films by excimer laser annealingYeh, Jiun-Lin; Chen, Hsuen-Li ; Shih, An; Lee, Si-Chen Electronics Letters11
2021999Interference Coatings Based on Synthesized Silicon NitrideLee, Cheng-Chung; Chen, Hsuen-Li ; Hsu, Jin-Cherng; Tien, Chuen-LinApplied Optics 
2031999Hexamethyldisiloxane Film as the Bottom Antireflective Coating Layer for ArF Excimer Laser LithographyChen, Hsuen-Li ; Wang, Lon A. Applied Optics1312
2041998Characteristics of deuterium-loaded fiber bragg gratingsC. W. Hsu; HSUEN-LI CHEN ; LON A. WANG Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers33
2051998Characteristics of Fiber Bragg Grating with Deuterium LoadingW. Hsu; L. A. Wang; LON A. WANG ; HSUEN-LI CHEN SPIE00
2061998Characteristics of plasma enhanced chemical vapor deposition-grown SiN[sub x] films prepared for deep ultraviolet attenuated phase-shifting masksChen, H. L.; L. A. Wang; LON A. WANG ; HSUEN-LI CHEN Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures04