研究成果



第 1 到 262 筆結果,共 262 筆。

公開日期標題作者來源出版物scopusWOS全文
12024Graphene-All-Around Cobalt Interconnect with a Back-End-of-Line Compatible ProcessKuo, Chi Yuan; Zhu, Jia Heng; Chiu, Yun Ping; Ni, I. Chih; Chen, Mei Hsin; YUH-RENN WU ; CHIH-I WU Nano Letters
22024Low-Pressure Plasma-Processed NiCo Metal–Organic Framework for Oxygen Evolution Reaction and Its Application in Alkaline Water Electrolysis ModuleSu, Yu Lun; Yu, Shuo En; Ni, I. Chih; CHIH-I WU ; Chen, Yong Song; Chuang, Yi Cheng; I-CHUN CHENG ; JIAN-ZHANG CHEN Journal of Composites Science
32023MoS2 as an Effective Cu Diffusion Barrier with a Back-End Compatible ProcessKuo, Chi Yuan; Chang, Ya Ting; Huang, Yu Ting; Ni, I. Chih; Chen, Mei Hsin; CHIH-I WU ACS Applied Materials and Interfaces30
42023Ultrafast Fabrication of H2SO4, LiCl, and Li2SO4 Gel Electrolyte Supercapacitors with Reduced Graphene Oxide (rGO)-LiMnOx Electrodes Processed Using Atmospheric-Pressure Plasma JetLan, Pei Ling; Ni, I. Chih; CHIH-I WU ; JERRY CHENG-CHE HSU ; I-CHUN CHENG ; JIAN-ZHANG CHEN Micromachines00
52023Improving the Electromigration Life of Advanced Interconnects through Graphene CappingHuang, Jian Zhi; Tsao, Po Chou; Chang, En Cheng; Jiang, Zih Kang; Ni, I. Chih; Li, Shu Wei; Chan, Yu Chen; Yang, Shin Yi; Lee, Ming Han; Shue, Shau Lin; Chen, Mei Hsin; CHIH-I WU ACS Applied Nano Materials2
62023Intercalated Multilayer Graphene with Ultra Low Resistance for Next-Generation InterconnectsHuang, Jian Zhi; Chang, En Cheng; Tsao, Po Chou; Ni, I. Chih; Li, Shu Wei; Chan, Yu Chen; Yang, Shin Yi; Lee, Ming Han; Shue, Shau Lin; Chen, Mei Hsin; CHIH-I WU ACS Applied Nano Materials00
72023Area-Selective Growth of Two-Dimensional Mono- And Bilayer WS<inf>2</inf> for Field Effect TransistorsHuang, Lin Yun; Li, Ming Yang; Liew, San Lin; Lin, Shih Chu; Chou, Ang Sheng; Hsu, Ming Chun; Hsu, Ching Hao; Lin, Yu Tung; Mao, Po Sen; Hou, Duen Huei; Liu, Wei Cheng; CHIH-I WU ; Chang, Wen Hao; Wang, Han; Li, Lain Jong; Wei, Kung HwaACS Materials Letters00
82023Low-Pressure Argon/Hydrogen/Oxygen Plasma Treatment on LiMn2O4 Li-Ion Hybrid SupercapacitorsChen, Hong Kai; Ni, I. Chih; CHIH-I WU ; I-CHUN CHENG ; JIAN-ZHANG CHEN ECS Journal of Solid State Science and Technology11
92023Enhanced electrochemical performance of low-pressure-plasma-treated paper-based fluidic aluminum-air batteryChan, Chih Lin; Ni, I. Chih; CHIH-I WU ; I-CHUN CHENG ; JIAN-ZHANG CHEN Physica Scripta00
102023A 8-b-Precision 6T SRAM Computing-in-Memory Macro Using Segmented-Bitline Charge-Sharing Scheme for AI Edge ChipsSu, Jian Wei; Chou, Yen Chi; Liu, Ruhui; Liu, Ta Wei; Lu, Pei Jung; Wu, Ping Chun; Chung, Yen Lin; Hong, Li Yang; Ren, Jin Sheng; Pan, Tianlong; Jhang, Chuan Jia; Huang, Wei Hsing; Chien, Chih Han; Mei, Peng I.; Li, Sih Han; Sheu, Shyh Shyuan; Chang, Shih Chieh; Lo, Wei Chung; CHIH-I WU ; Si, Xin; Lo, Chung Chuan; Liu, Ren Shuo; Hsieh, Chih Cheng; Tang, Kea Tiong; Chang, Meng FanIEEE Journal of Solid-State Circuits4
112023Increased Surface Density of States at the Fermi Level for Electron Transport Across Single-Molecule JunctionsGu, Mong-Wen; Lai, Chih-Ta; Ni, I-Chih; CHIH-I WU ; CHUN-HSIEN CHEN Angewandte Chemie (International ed. in English)55
122023Status and Performance of Integration Modules Toward Scaled CMOS with Transition Metal Dichalcogenide ChannelChou, Ang Sheng; Hsu, Ching Hao; Lin, Yu Tung; Arutchelvan, Goutham; Chen, Edward; Hung, Terry Y.T.; Hsu, Chen Feng; Chou, Sui An; Lee, Tsung En; Madia, Oreste; Doornbos, Gerben; Su, Yuan Chun; Azizi, Amin; Sathaiya, D. Mahaveer; Cai, Jin; Wang, Jer Fu; Chung, Yun Yan; Wu, Wen Chia; Neilson, Katie; Yun, Wei Sheng; Hsu, Yu Wei; Hsu, Ming Chun; Hou, Fa Rong; Shen, Yun Yang; Chien, Chao Hsin; Wu, Chung Cheng; Wu, Jeff; Wong, H. S.Philip; Chang, Wen Hao; Van Dal, Mark; Cheng, Chao Ching; CHIH-I WU ; Radu, Iuliana P.Technical Digest - International Electron Devices Meeting, IEDM
132023Effect of Solution Aging on Temperature Sensitivity of CNT/PEDOT:PSSTsai, TW; Ni, IC; CHIH-I WU ; I-CHUN CHENG ; JIAN-ZHANG CHEN ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY00
142022Modulation and Direct Mapping of the Interfacial Band Alignment of an Eco-Friendly Zinc-Tin-Oxide Buffer Layer in SnS Solar CellsHo, Thi Thong; Yang, Zi Liang; Fu, Fang Yu; Jokar, Efat; Hsu, Hung Chang; Liu, Pei Chi; Quadir, Shaham; Chen, Cheng Ying; YA-PING CHIU ; CHIH-I WU ; Chen, Kuei Hsien; LI-CHYONG CHEN ACS Applied Energy Materials00
152022A general strategy to ultrasensitive Ga2O3 based self-powered solar-blind photodetectorsCHIH-I WU ; Wu F; Ma C; Li S; Liu A; Yang X; Chen Y; Wang J; Guo D.Materials Today Physics8683
162022The effects of interfacial dipole caused by annealing-free Al-doped NiOx in efficient perovskite solar cellsTseng W.-S; Hung J.-S; Jian Z.-Y; Huang J.-Z; Yang J.-B; Hsu W.-H; CHIH-I WU ; Li Huang X; Chen M.-H.Solar Energy1010
172022The thermal stability improvement of spin-orbit-torque (SOT) devices with a thin PtMn insertionChang Y.-J; Chen F.-M; Chen K.-M; Yang S.-Y; Hsin Y.-C; Rahaman S.Z; Wang I.-J; Lee H.-H; Su Y.-H; Chen G.-L; Shih C.-Y; Chiu S.-C; Wei J.-H; Sheu S.-S; Lo W.-C; Tseng Y.-C; Lai C.-H; Tang D; CHIH-I WU 2022 International Symposium on VLSI Technology, Systems and Applications, VLSI-TSA 202200
182022Low-temperature synthesis of high-quality graphene by controlling the carbon-hydrogen ratio of the precursorHuang J.-Z; Ni I.-C; Hsu Y.-H; Li S.-W; Chan Y.-C; Yang S.-Y; Lee M.-H; Shue S.-L; Chen M.-H; CHIH-I WU Nano Express22
192022Stray field and combined effects on device miniaturization of the magnetic tunnel junctionsCheng C.-W; Chen K.-M; Wei J.-H; Hsin Y.-C; Sheu S.-S; CHIH-I WU ; Tseng Y.-C.Journal of Physics D: Applied Physics11
202022Two-Way Transpose Multibit 6T SRAM Computing-in-Memory Macro for Inference-Training AI Edge ChipsSu J.-W; Si X; Chou Y.-C; Chang T.-W; Huang W.-H; Tu Y.-N; Liu R; Lu P.-J; Liu T.-W; Wang J.-H; Chung Y.-L; Ren J.-S; Chang F.-C; Wu Y; Jiang H; Huang S; Li S.-H; Sheu S.-S; CHIH-I WU ; Lo C.-C; Liu R.-S; Hsieh C.-C; Tang K.-T; Yu S; Chang M.-F.IEEE Journal of Solid-State Circuits1313
212022Development of Highly Manufacturable, Reliable, and Energy-Efficient Spin-Orbit Torque Magnetic Random Access Memory (SOT-MRAM)Rahaman S.Z; Chang Y.-J; Hsin Y.-C; Yang S.-Y; Chen F.-M; Chen K.-M; Wang I.-J; Lee H.-H; Chen G.-L; Su Y.-H; Shih C.-Y; Chiu S.-C; Wei J.-H; Yen S.-C; Huang K.-C; Chen C.-C; Chen M.-C; Sheu S.-S; Lo W.-C; Chang S.-Z; See Y.-C; Deng D.-L; CHIH-I WU 2022 International Symposium on VLSI Technology, Systems and Applications, VLSI-TSA 202210
222022Area Scalable Hafnium-Zirconium-Oxide Ferroelectric Capacitor Using Low-Temperature Back-End-of-Line Compatible 40°C AnnealingHuang T.-S; Yeh P.-C; Yang H.-Y; Lin Y.-D; Tzeng P.-J; Sheu S.-S; Lo W.-C; CHIH-I WU ; Hou T.-H.2022 International Symposium on VLSI Technology, Systems and Applications, VLSI-TSA 202200
232022Boosting photocatalytic CO2 reduction in a ZnS/ZnIn2S4 heterostructure through strain-induced direct Z-scheme and a mechanistic study of molecular CO2 interaction thereonSabbah A.; Qorbani M.; Fu F.-Y.; Lin T.-Y.; Wu H.-L.; CHIH-I WU ; Chen K.-H.; LI-CHYONG CHEN Nano Energy8468
242022Enhancing the Performance of Quasi-2D Perovskite Light-Emitting Diodes Using Natural Cyclic Molecules with Distinct Phase Regulation BehaviorsChen C.-H; Kuo Y.-H; Lin Y.-K; Ni I.-C; Lin B.-H; CHIH-I WU ; Yip H.-L; Kuo C.-C; CHU-CHEN CHUEH ACS Applied Materials and Interfaces64
252022Tungsten disulfide nanosheets for piezoelectric nanogenerator and human-machine interface applicationsZhou Y.-X; Lin Y.-T; Huang S.-M; Chen G.-T; Chen S.-W; Wu H.-S; Ni I.-C; Pan W.-P; Tsai M.-L; CHIH-I WU ; Yang P.-K.Nano Energy1211
26202116.3 A 28nm 384kb 6T-SRAM Computation-in-Memory Macro with 8b Precision for AI Edge ChipsSu J.-W; Chou Y.-C; Liu R; Liu T.-W; Lu P.-J; Wu P.-C; Chung Y.-L; Hung L.-Y; Ren J.-S; Pan T; Li S.-H; Chang S.-C; Sheu S.-S; Lo W.-C; CHIH-I WU ; Si X; Lo C.-C; Liu R.-S; Hsieh C.-C; Tang K.-T; Chang M.-F.Digest of Technical Papers - IEEE International Solid-State Circuits Conference940
272021Enhancement of Mobility and Modulation of Carrier Concentration in Graphene Field-Effect Transistors via Molecular DopingXu L; Ni I.-C; Chao Y.-P; Jiang T.-J; Chen M.-H; CHIH-I WU Advanced Materials Interfaces32
282021Ultra-thin Hf0.5Zr0.5O2ferroelectric tunnel junction with high current densityChu Y.-H; Huang H.-H; Chen Y.-H; Hsu C.-H; Tzeng P.-J; Sheu S.-S; Lo W.-C; CHIH-I WU ; Hou T.-H.VLSI-TSA 2021 - 2021 International Symposium on VLSI Technology, Systems and Applications, Proceedings90
292021The influence of helium dielectric barrier discharge jet (Dbdjet) plasma treatment on bathocuproine (bcp) in p-i-n-structure perovskite solar cellsShih C.-Y; Huang J.-Z; Chen M.-H; CHIH-I WU ; JERRY CHENG-CHE HSU ; JIAN-ZHANG CHEN ; I-CHUN CHENG Polymers11
302021Insertion Trade-off Effects on the Spin-Transfer Torque Memory Explored byIn SituX-rayRamesh A.K; Chen K.-M; Lin Y.-J; Singh P; Wei J.-H; Hsin Y.-C; CHIH-I WU ; Tseng Y.-C.ACS Applied Electronic Materials22
312021A highly responsive hybrid photodetector based on all-inorganic 2D heterojunction consisting of Cs2Pb(SCN)2Br2 and MoS2Chu K.-L; Chen C.-H; Shen S.-W; Huang C.-Y; Chou Y.-X; Liao M.-Y; Tsai M.-L; CHIH-I WU ; CHU-CHEN CHUEH Chemical Engineering Journal910
322021High On-State Current in Chemical Vapor Deposited Monolayer MoS2nFETs with Sn Ohmic ContactsChou A.-S; CHIH-I WU et al. IEEE Electron Device Letters2826
332021Contact Engineering for High-Performance N-Type 2D Semiconductor TransistorsLin Y; Shen P.-C; Su C; Chou A.-S; Wu T; Cheng C.-C; Park J.-H; Chiu M.-H; Lu A.-Y; Tang H.-L; Tavakoli M.M; Pitner G; Ji X; McGahan C; Wang X; Cai Z; Mao N; Wang J; Wang Y; Tisdale W; Ling X; Aidala K.E; Tung V; Li J; Zettl A; CHIH-I WU ; Guo J; Wang H; Bokor J; Palacios T; Li L.-J; Kong J.Technical Digest - International Electron Devices Meeting, IEDM60
342021An 8kb spin-orbit-torque magnetic random-access memoryChen G.-L; Wang I.-J; Yeh P.-S; Li S.-H; Yang S.-Y; Hsin Y.-C; Wu H.-T; Hsiao H.-M; Chang Y.-J; Chen K.-M; Rahaman S.K.Z; Lee H.-H; Su Y.-H; Chen F.-M; Wei J.-H; Sheu S.-S; CHIH-I WU ; Tang D.VLSI-TSA 2021 - 2021 International Symposium on VLSI Technology, Systems and Applications, Proceedings50
352021Vertical 2D/3D Heterojunction of Tin Perovskites for Highly Efficient HTM-Free Perovskite Solar CellLin J.-T; Chu T.-C; Chen D.-G; Huang Z.-X; Chen H.-C; Li C.-S; Wu C.-I; Chou P.-T; Chiu C.-W; CHING-WEN CHIU ; HAO MING CHEN ; PI-TAI CHOU ; CHIH-I WU ACS Applied Energy Materials2219
362021Ultrathin amorphous Ge film enabling stabilized femtosecond fiber laser pulsationYang C.-C; Cheng C.-H; Chen T.-H; Lin Y.-H; Tseng W.-H; Chang P.-H; Wu C.-I; CHIH-I WU ; GONG-RU LIN Optics and Laser Technology88
372021Transparent and Flexible Inorganic Perovskite Photonic Artificial Synapses with Dual-Mode OperationYang L; Singh M; Shen S.-W; Chih K.-Y; Liu S.-W; Wu C.-I; Chu C.-W; Lin H.-W.; CHIH-I WU Advanced Functional Materials7875
382021A Low Power CMOS Driver Integrated with Mach-Zehnder Modulator for PAM4 Optical TransmissionsLee T.-H; Zhang J; Hong S; Hsu C.-H; Li S.-H; Chen S.-C; Shyh-Shyuan S; Wu C.-I; Hsu S.S.H.; CHIH-I WU 2020 50th European Microwave Conference, EuMC 202010
392021Enhanced Electrical Performance of Van der Waals HeterostructureXu L; Wang Y.-Y; Hsiao C.-H; Ni I.-C; Chen M.-H; Wu C.-I.; CHIH-I WU Advanced Materials Interfaces55
402021Through-Space Exciton Delocalization in Segregated HJ-Crystalline Molecular AggregatesWei Y.-C; Shen S.-W; Wu C.-H; Ho S.-Y; Zhang Z; CHIH-I WU ; PI-TAI CHOU Journal of Physical Chemistry A76
412021Atomic-Layer Controlled Interfacial Band Engineering at Two-Dimensional Layered PtSe2/Si Heterojunctions for Efficient Photoelectrochemical Hydrogen ProductionChung C.-C; Yeh H; Wu P.-H; Lin C.-C; Li C.-S; Yeh T.-T; Chou Y; Wei C.-Y; Wen C.-Y; YI-CHIA CHOU ; Luo C.-W; CHIH-I WU ; Li M.-Y; Li L.-J; Chang W.-H; CHUN-WEI CHEN ACS Nano2825
422021Ultralow contact resistance between semimetal and monolayer semiconductorsShen P.-C; Su C; Lin Y; Chou A.-S; Cheng C.-C; Park J.-H; Chiu M.-H; Lu A.-Y; Tang H.-L; Tavakoli M.M; Pitner G; Ji X; Cai Z; Mao N; Wang J; Tung V; Li J; Bokor J; Zettl A; CHIH-I WU ; Palacios T; Li L.-J; Kong J.Nature574490
432020BEOL-Compatible multiple metal-ferroelectric-metal (m-MFM) FETs designed for low voltage (2.5 V), high density, and excellent reliabilityYan M.-H; Wu M.-H; Huang H.-H; Chen Y.-H; Chu Y.-H; Wu T.-L; Yeh P.-C; Wang C.-Y; Lin Y.-D; Su J.-W; Tzeng P.-J; Sheu S.-S; Lo W.-C; CHIH-I WU ; Hou T.-H.Technical Digest - International Electron Devices Meeting, IEDM100
442020The photonic properties of micro/mini LED arrays with different substrate thicknessYang S.-M; Wang P.-H; Chao C.-H; Chu C.-W; Chen Y.-S; Chang F.-P; Fang Y.-H; Lin C.-C; Wu C.-I.; CHIH-I WU Proceedings of SPIE - The International Society for Optical Engineering00
452020Size-Dependent Switching Properties of Spin-Orbit Torque MRAM with Manufacturing-Friendly 8-Inch Wafer-Level UniformityRahaman, S.Z.; Su, Y.-H.; Chen, G.-L.; Chen, F.-M.; Wei, J.-H.; Hou, T.-H.; Sheu, S.-S.; CHIH-I WU ; Deng, D.-L.; Wang, I.-J.; Wang, D.-Y.; CHI-FENG PAI ; Hsin, Y.-C.; Yang, S.-Y.; Lee, H.-H.; Chang, Y.-J.IEEE Journal of the Electron Devices Society1113
462020High-end displays applications by micro-ledsLin C.-C; Fang Y.-H; Kuo W.-H; Liang K.-L; Tseng J.T; Hu P.H; Lin M.C; Wu C.-I.; CHIH-I WU Digest of Technical Papers - SID International Symposium10
472020Low-environmental-interference highly-sensitive TMR biosensor for in-vitro diagnosis applicationKuo Y.-C; CHIH-I WU et al. 2020 International Symposium on VLSI Technology, Systems and Applications, VLSI-TSA 202000
482020A 4-Kb 1-to-8-bit Configurable 6T SRAM-Based Computation-in-Memory Unit-Macro for CNN-Based AI Edge ProcessorsChiu Y.-C; CHIH-I WU et al. IEEE Journal of Solid-State Circuits4747
492020Promising Engineering Approaches for Improving the Reliability of HfZrOx2-D and 3-D Ferroelectric Random Access MemoriesLin Y.-D; Yeh P.-C; Tzeng P.-J; Hou T.-H; Wu C.-I; King Y.-C; Lin C.J.; CHIH-I WU IEEE Transactions on Electron Devices66
502020High On-Current 2D nFET of 390 \mu A/\mu m at V_{DS = 1V using Monolayer CVD MoS2 without Intentional DopingChou A.-S; CHIH-I WU et al. Digest of Technical Papers - Symposium on VLSI Technology100
512020Highly Emissive Dinuclear Platinum(III) ComplexesWu X; Chen, DG; Liu, DH; Liu, SH; Shen, SW; Wu, CI; Xie, GH; Zhou, JW; Huang, ZX; Huang, CY; Su, SJ; Zhu, WG; CHIH-I WU ; PI-TAI CHOU Journal of the American Chemical Society6967
522020Interlayer charge transfer coupled with acoustic phonon in organic/inorganic van der Waals stacked heterostructures: Self-assembled Pt(II) complex on a PtSe2 monolayerChi Y; Wei, YC; Fu, FY; Chou, KJ; Wang, SF; Fu, LW; Chuang, WT; Tsai, ML; Chen, MH; Chi, Y; PI-TAI CHOU ; CHIH-I WU Journal of Physical Chemistry C43
532020Compact Probabilistic Poisson Neuron based on Back-Hopping Oscillation in STT-MRAM for All-Spin Deep Spiking Neural NetworkWu M.-H; CHIH-I WU et al. Digest of Technical Papers - Symposium on VLSI Technology90
542020A Frequency Digital Pre-Distortion Compensation Method for FMCW LiDAR SystemChen T.-H; CHIH-I WU et al. 2020 Optical Fiber Communications Conference and Exhibition, OFC 2020 - Proceedings3
552020Extended Electrical and Photonic Characterization of GaN-Based Ultra-Violet MicroLEDs with an ITO Emission Window LayerTsai Y.-L; CHIH-I WU et al. IEEE Photonics Journal74
562020A frequency digital pre-distortion compensation method for FMCW LiDAR systemChen T.-H; CHIH-I WU et al. Optics InfoBase Conference Papers00
572020Quaternary Barrier AlInGaN/GaN-on-Si High Electron Mobility Transistor with Record FT-LgProduct of 13.9 GHz-μmTu P.-T; Sanyal I; Yeh P.-C; Lee H.-Y; Lee L.-H; Wu C.-I; Chyi J.-I.; CHIH-I WU 2020 International Symposium on VLSI Technology, Systems and Applications, VLSI-TSA 202010
582020編寫自動檢測分析程式以進行PETMRI的ADNI phantom品管測試之經驗分享邱莘予(Hsin-Yu Chiu); 吳志毅(Camus C.Y. Wu); CHIH-I WU 臺灣醫事放射期刊0
592020Forming-Free, Nonvolatile, and Flexible Resistive Random-Access Memory Using Bismuth Iodide/van der Waals Materials HeterostructuresLi C.-S; Kuo S.-W; Wu Y.-T; Fu F.-Y; Ni I.-C; Chen M.-H; Wu C.-I.; CHIH-I WU Advanced Materials Interfaces2513
602020Fabrication and device characterization of large linear dynamic range tunnel magnetoresistance (TMR) sensors for system applicationsChen F.-M; CHIH-I WU et al. 2020 International Symposium on VLSI Technology, Systems and Applications, VLSI-TSA 202000
612020Delayed Charge Recombination by Open-Shell Organics: Its Application in Achieving Superb Photodetectors with Broadband (400–1160 nm) Ultrahigh Sensitivity and StabilityShen S.-W; Chen, DG; Chen, IT; Chang, KH; Lee, CW; Fang, CT; Chen, YT; Chuang, WT; Lee, YH; Wu, YT; PI-TAI CHOU ; CHIH-I WU et al. Advanced Optical Materials57
622020Van der Waals Epitaxy of Horizontally Orientated Bismuth Iodide/Silicon Heterostructure for Nonvolatile Resistive-Switching Memory with Multistate Data StorageLi C.-S; Kuo S.-W; Wu Y.-T; Chang P.-H; Ni I.-C; Chen M.-H; Wu C.-I.; CHIH-I WU Advanced Materials Interfaces77
632020Direct large-area growth of graphene on silicon for potential ultra-low-friction applications and silicon-based technologiesTseng W.-S; Tseng W.-S; Chen Y.-C; Hsu C.-C; Lu C.-H; Wu C.-I; Yeh N.-C.; CHIH-I WU Nanotechnology109
642019In-situ atmospheric-pressure dielectric barrier discharge plasma treated CH <inf>3</inf> NH <inf>3</inf> PbI <inf>3</inf> for perovskite solar cells in regular architectureChen, Z.-C.; Cheng, Y.; Lin, C.-C.; Li, C.-S.; Hsu, C.-C. ; Chen, J.-Z. ; Wu, C.-I. ; I-CHUN CHENG Applied Surface Science1818
652019The Advanced Thin Film Micro LED ArrayLin, C.-C.; Yang, S.-M.; Kuo, W.-H.; Liang, K.-L.; Fang, Y.-H.; Wu, C.-I.; CHIH-I WU AM-FPD 2019 - 26th International Workshop on Active-Matrix Flatpanel Displays and Devices: TFT Technologies and FPD Materials, Proceedings00
662019Influence of Work Function of Carrier Transport Materials with Perovskite on Switchable Photovoltaic PhenomenaLi, C.-S.; Cheng, T.-C.; Shen, S.-W.; Wu, Y.-T.; Cheng, J.-R.; Ni, I.-C.; Chen, M.-H.; Wu, C.-I.; CHIH-I WU Journal of Physical Chemistry C913
672019A Large dynamic range current sensor using magnetic tunnel junction on 8' si process lineWang D.Y; CHIH-I WU et al. 2019 International Symposium on VLSI Technology, Systems and Application, VLSI-TSA 201900
682019KSCN-induced Interfacial Dipole in Black TiO2 for Enhanced Photocatalytic CO2 ReductionFu, Fang-Yu; Shown, Indrajit; Li, Chia-Shuo; Raghunath, Putikam; Lin, Tsai-Yu; Billo, Tadesse; Wu, Heng-Liang; Wu, Chih-I.; Chung, Po-Wen; Lin, Ming-Chang; Chen, Li-Chyong; CHIH-I WU ; Li-Chyong Chen Acs Applied Materials & Interfaces5253
692019Near-Infrared Emission Induced by Shortened Pt-Pt Contact: Diplatinum(II) Complexes with Pyridyl Pyrimidinato CyclometalatesWang, S.F. et al.; Fu, L.-W.; Wei, Y.-C.; Liu, S.-H.; Lin, J.-A.; Lee, G.-H.; PI-TAI CHOU ; Huang, J.-Z.; CHIH-I WU ; Yuan, Y.; Lee, C.-S.Inorganic Chemistry4037
702019Angular color variation in micron-scale light-emitting diode arraysYang, S.-M.; Wang, P.-H.; Chao, C.-H.; Chu, C.-W.; Yeh, Y.-T.; Chen, Y.-S.; Chang, F.-P.; Fang, Y.-H.; Lin, C.-C.; Wu, C.-I.; CHIH-I WU Optics Express2420
712019Welcome message from Chih-I Wu, conference general chairWu C.-I.; CHIH-I WU Proceedings of Technical Papers - International Microsystems, Packaging, Assembly, and Circuits Technology Conference, IMPACT00
722019Enhancing the Catalytic Activity of Tri-iodide Reduction by Tuning the Surface Electronic Structure of PtPd Alloy NanocrystalsChou, K.-J.; Chou, S.-W.; Chen, D.-G.; Lo, T.-H.; Shen, S.-W.; Yang, Y.-Y.; Lin, C.-Y.; Chou, H.-L.; Shyue, J.-J.; Wu, C.-I.; CHIH-I WU Journal of Physical Chemistry C77
732019High-Quality Conformal Homogeneous All-Vacuum Deposited CsPbCl<inf>3</inf> Thin Films and Their UV Photodiode ApplicationsYang, L.; Tsai, W.-L.; Li, C.-S.; Hsu, B.-W.; Chen, C.-Y.; Wu, C.-I.; Lin, H.-W.; CHIH-I WU ACS Applied Materials and Interfaces4241
742018Ultrasensitive Photoresponsive Devices Based on Graphene/BiI<inf>3</inf> van der Waals Epitaxial HeterostructuresChang, P.-H.; Li, C.-S.; Fu, F.-Y.; Huang, K.-Y.; Chou, A.-S.; Wu, C.-I.; CHIH-I WU Advanced Functional Materials4346
752018Surface modification of graphene using HBC-6ImBr in solution-processed OLEDsCheng, T.-C.; Ku, T.-A.; Huang, K.-Y.; Chou, A.-S.; Chang, P.-H.; Chang, C.-C.; Yue, C.-F.; Liu, C.-W.; Wang, P.-H.; Wong, K.-T. ; CHIH-I WU Journal of Applied Physics32
762018Ge-Rich SiGe Mode-Locker for Erbium-Doped Fiber LasersChi-Cheng Yang; Chih-Hsien Cheng; Ting-Hui Chen; Yung-Hsiang Lin; Yu -Chieh Chi; Wei-Hsuan Tseng; Po-Han Chang; CHIH-I WU ; Gong-Ru Lin; GONG-RU LIN ; 林恭如; Chen, Cheng-Ying; Chen, Kuei-Hsien; LI-CHYONG CHEN IEEE Journal of Selected Topics in Quantum Electronics55
772018Ultralow-contact-resistance graphene field-effect transistors fabricated with P-type solution dopingHuang, K.-Y.; Chou, A.S.; Liu, S.-Y.; Cheng, W.-Y.; Hung, C.-L.; Li, C.-S.; Ho, M.-S.; Wu, C.-I.; CHIH-I WU Applied Physics Express32
782018Pulse-Width and Temperature Effect on the Switching Behavior of an Etch-Stop-on-MgO-Barrier Spin-Orbit Torque MRAM CellRahaman, S.Z.; Wang, I.-J.; Chen, T.-Y.; CHI-FENG PAI ; Wang, D.-Y.; Wei, J.-H.; Lee, H.-H.; Hsin, Y.-C.; Chang, Y.-J.; Yang, S.-Y.; Kuo, Y.-C.; Su, Y.-H.; Chen, Y.-S.; Huang, K.-C.; CHIH-I WU IEEE Electron Device Letters2923
792018Cytotoxicity and in Vitro Degradation Kinetics of Foundry-Compatible Semiconductor Nanomembranes and Electronic MicrocomponentsChang, Jan-Kai; Emon, M. A. Bashar; Li, Chia-Shuo; Yang, Quansan; Chang, Hui-Ping; Yang, Zijian; Wu, Chih-I.; Saif, M. Taher; Rogers, John A.; CHIH-I WU Acs Nano1615
802018Realizing multi-functional all-optical data processing on nanoscale SiC waveguidesSyu, S.-C.; Cheng, C.-H.; Wang, H.-Y.; Chi, Y.-C.; Wu, C.-I.; CHIH-I WU ; GONG-RU LIN Scientific Reports1212
812018SiGeC Waveguide for All-Optical Data SwitchingHuang, B.-J.; Tsai, C.-T.; Lin, Y.-H.; Cheng, C.-H.; Wang, H.-Y.; Chi, Y.-C.; Chang, P.-H.; Wu, C.-I.; CHIH-I WU ; GONG-RU LIN ACS Photonics1515
822018Biodegradable Electronic Systems in 3D, Heterogeneously Integrated FormatsChang, J.-K.; Chang, H.-P.; Guo, Q.; Koo, J.; Wu, C.-I.; Rogers, J.A.; CHIH-I WU Advanced Materials7572
832018Ge-Rich SiGe Mode-Locker for Erbium-Doped Fiber LasersYang, C.-C.; Cheng, C.-H.; Chen, T.-H.; Lin, Y.-H.; Chi, Y.-C.; Tseng, W.-H.; Chang, P.-H.; Chen, C.-Y.; Chen, K.-H.; Chen, L.-C.; Wu, C.-I.; Lin, G.-R.; CHIH-I WU IEEE Journal of Selected Topics in Quantum Electronics55
842018Experimental analysis of the Schottky barrier height of metal contacts in black phosphorus field-effect transistorsChang, H.-M.; Fan, K.-L.; Charnas, A.; Ye, P.D.; Lin, Y.-M.; Wu, C.-I.; CHIH-I WU ; CHAO-HSIN WU Journal of Physics D: Applied Physics1414
852018Solution processable mixed-solvent exfoliated MoS <inf>2</inf> nanosheets for efficient and robust organic light-emitting diodesLiu, C.-W.; Wang, C.; Liao, C.-W.; Golder, J.; Tsai, M.-C.; Young, H.-T.; Chen, C.-T.; HONG-TSU YOUNG ; CHIH-I WU AIP Advances1010
862018Ni-Nanocluster Modified Black TiO2 with Dual Active Sites for Selective Photocatalytic CO2 ReductionBillo, T.; Fu, F.-Y.; Raghunath, P.; Shown, I.; Chen, W.-F.; Lien, H.-T.; Shen, T.-H.; Lee, J.-F.; Chan, T.-S.; Huang, K.-Y.; CHIH-I WU ; Lin, M.C.; Hwang, J.-S.; Lee, C.-H.; LI-CHYONG CHEN ; Chen, K.-H.Small138129
872018A Reconfigurable Field-Effect Sensor By Single-Layer Graphene for Opto-Electro-Chemical Sensing ApplicationsW.-E. Hsu; T.-A. Ku; C.-Y. Lee; C.-I. Wu; C.-T. Lin; CHIH-I WU 233st Electrochemical Society Meeting00
882018Carbon-doped SnS<inf>2</inf> nanostructure as a high-efficiency solar fuel catalyst under visible lightShown, I.; Samireddi, S.; Chang, Y.-C.; Putikam, R.; Chang, P.-H.; Sabbah, A.; Fu, F.-Y.; Chen, W.-F.; Wu, C.-I.; Yu, T.-Y.; Chung, P.-W.; Lin, M.C.; Chen, L.-C.; CHIH-I WU ; LI-CHYONG HEN Nature Communications310315
892018以回溯分析比較PET/CT與PET/MRI檢查的整體有效劑量洪儀倫(Yi-Lun Hung); 吳志毅(Camus C.Y. Wu); CHIH-I WU 臺灣醫事放射期刊0
902018All-Two-Dimensional-Material Hot Electron TransistorGuo H; CHIH-I WU et al. IEEE Electron Device Letters1816
912018以PET\\MR評估MRI掃描儀中TLD晶片自消光的程度陳淑珍(Shu-Chen Chen); 吳志毅(Camus C. Y. Wu); CHIH-I WU 臺灣醫事放射期刊0
922017Investigating and optimizing charge transfer between graphene and metal by using double layer electrode and polymer-free transfer methodHuang, K.-Y.; Li, C.-S.; Wen, L.-H.; Chou, A.-S.; Ho, M.-S.; Wu, C.-I.; CHIH-I WU Materials Research Express33
932017Germanium-doped Metallic Ohmic Contacts in Black Phosphorus Field-Effect Transistors with Ultra-low Contact ResistanceChang, H.-M.; Charnas, A.; Lin, Y.-M.; Ye, P.D.; Wu, C.-I.; CHIH-I WU ; CHAO-HSIN WU Scientific Reports1512
942017Revealing Ordered Polymer Packing during Freeze-Drying Fabrication of a Bulk Heterojunction Poly(3-hexylthiophene-2,5-diyl):[6,6]-Phenyl-C61-butyric Acid Methyl Ester Layer: In Situ Optical Spectroscopy, Molecular Dynamics Simulation, and X-ray DiffractionLan, Y.-B.; Sher, P.-H.; Lee, C.-K.; Pao, C.-W.; Tsao, C.-S.; Huang, Y.-C.; Huang, P.-T.; Wu, C.-I.; CHIH-I WU Journal of Physical Chemistry C76
952017Ultrahigh responsivity and detectivity graphene-perovskite hybrid phototransistors by sequential vapor depositionChang, P.-H.; Liu, S.-Y.; Lan, Y.-B.; Tsai, Y.-C.; You, X.-Q.; Li, C.-S.; Huang, K.-Y.; Chou, A.-S.; Cheng, T.-C.; Wang, J.-K. ; CHIH-I WU Scientific Reports6355
962017Boosting thin-film perovskite solar cell efficiency through vacuum-deposited sub-nanometer small-molecule electron interfacial layersLee, W.-H.; Chen, C.-Y.; Li, C.-S.; Hsiao, S.-Y.; Tsai, W.-L.; Huang, M.-J.; Cheng, C.-H.; Wu, C.-I.; Lin, H.-W.; CHIH-I WU Nano Energy2930
972017Highly Sensitive Graphene-Semiconducting Polymer Hybrid Photodetectors with Millisecond Response TimeChang, P.-H.; Tsai, Y.-C.; Shen, S.-W.; Liu, S.-Y.; Huang, K.-Y.; Li, C.-S.; Chang, H.-P.; Wu, C.-I.; CHIH-I WU ACS Photonics2322
982017Write-energy-saving ReRAM-based nonvolatile SRAM with redundant bit-write-aware controller for last-level cachesChien, T.-K.; Chiou, L.-Y.; Tsou, Y.-S.; Sheu, S.-S.; Wang, P.-H.; Tsai, M.-J.; Wu, C.-I.; CHIH-I WU Proceedings of the International Symposium on Low Power Electronics and Design40
992017Memory access algorithm for low energy CPU/GPU heterogeneous systems with hybrid DRAM/NVM memory architectureChien, T.-K.; Chiou, L.-Y.; Cheng, C.-W.; Sheu, S.-S.; Wang, P.-H.; Tsai, M.-J.; Wu, C.-I.; CHIH-I WU 2016 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 201620
1002017Data retention characterization of gate-injected gold-nanoparticle non-volatile memory with low-damage CF <inf>4</inf> -plasma-treated blocking oxide layerLiu, Y.-H.; Kao, C.-H.; Cheng, T.-C.; Wu, C.-I.; Wang, J.-C.; CHIH-I WU Nanomaterials11
1012017Two-Photon Absorption-Free Ultrafast Optical Switching in Carbon-Rich Si <inf>x</inf> C <inf>1?</inf><inf>x</inf> MicroringHuang, B.-J.; Wu, C.-L.; Lin, Y.-H.; Wang, H.-Y.; Tsai, C.-T.; Cheng, C.-H.; Chi, Y.-C.; Chang, P.-H.; Wu, C.-I.; Soref, R.A.; CHIH-I WU ; GONG-RU LIN Advanced Materials Technologies9015
1022017Atomic-Scale Structural and Chemical Characterization of Hexagonal Boron Nitride Layers Synthesized at the Wafer-Scale with Monolayer Thickness ControlLin, W.-H.; Brar, V.W.; Jariwala, D.; Sherrott, M.C.; Tseng, W.-S.; Wu, C.-I.; Yeh, N.-C.; Atwater, H.A.; CHIH-I WU Chemistry of Materials3231
1032017Band Alignment of 2D Transition Metal Dichalcogenide HeterojunctionsChiu, M.-H.; Tseng, W.-H.; Tang, H.-L.; Chang, Y.-H.; Chen, C.-H.; Hsu, W.-T.; Chang, W.-H.; Wu, C.-I.; Li, L.-J.; CHIH-I WU Advanced Functional Materials6869
1042017Hardware implementation of physically unclonable function (puf) in perpendicular STT MRAMWang D.Y; CHIH-I WU et al. 2017 International Symposium on VLSI Technology, Systems and Application, VLSI-TSA 201750
1052017Stabilization of hybrid perovskite CH<inf>3</inf>NH<inf>3</inf>PbI<inf>3</inf> thin films by graphene passivationTseng, W.-S.; Jao, M.-H.; Hsu, C.-C.; Huang, J.-S.; Wu, C.-I.; Yeh, N.-C.; CHIH-I WU Nanoscale1515
1062017A paper-based electrode using a graphene dot/PEDOT:PSS composite for flexible solar cellsLee, C.-P.; Lai, K.-Y.; Lin, C.-A.; Li, C.-T.; Ho, K.-C.; Wu, C.-I.; Lau, S.-P.; CHIH-I WU ; Ho K.-C. Nano Energy130123
1072017Improved efficiency of organic light-emitting diodes with self-assembled molybdenum oxide hole injection layersLiu, C.-W.; Tsai, M.-C.; Cheng, T.-C.; Ho, Y.-H.; You, H.-K.; Li, C.-S.; Chen, C.-T.; Wu, C.-I.; CHIH-I WU Journal of Applied Physics23
1082017On the Hardware Implementation of MRAM Physically Unclonable FunctionChen Y.-S; CHIH-I WU et al. IEEE Transactions on Electron Devices88
1092017以問卷評估實習學生在到MR單位實習前對MR作業安全的認知郭峰呈(Feng-Cheng Kuo); 石博文(Po-Wen Shih); 吳志毅(Camus C.Y. Wu); CHIH-I WU 臺灣醫事放射期刊0
1102016Passively mode-locked erbium-doped fiber laser with Gerich Si<inf>1-x</inf>Ge<inf>x</inf> saturable absorberLin, Yi Hsiang; Yang, Chi Cheng; Cheng, Chih Hsien; CHIH-I WU ; GONG-RU LIN Optics InfoBase Conference Papers00
1112016Effects of Ga concentration and rapid thermal annealing on the structural, optoelectronic and photoluminescence properties of Ga-doped ZnO thin filmsHorng, R.-H.; Ou, S.-L.; Huang, C.-Y.; Ravadgar, P.; Wu, C.-I.; CHIH-I WU Thin Solid Films2322
1122016Low-Power MCU With Embedded ReRAM Buffers as Sensor Hub for IoT ApplicationsChien, T.; Chiou, L.; Sheu, S.; Lin, J.; Lee, C.; Ku, T.; Tsai, M.; Wu, C.; CHIH-I WU IEEE Journal on Emerging and Selected Topics in Circuits and Systems2723
1132016An energy-efficient nonvolatile microprocessor considering software-hardware interaction for energy harvesting applicationsChien, T.-K.; CHIH-I WU et al. 2016 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2016110
1142016Catalytically solid-phase self-organization of nanoporous SnS with optical depolarizabilityCheng, C.-H. et al.; Chi, Y.-C.; Wu, C.-L.; Lin, C.-J.; Tsai, L.-H.; Chang, J.-H.; Chen, M.K.; Shih, M.-H.; Lee, C.-K.; CHIH-I WU ; Tsai, D.P.; GONG-RU LIN Nanoscale77
1152016Growing GaN LEDs on amorphous SiC buffer with variable C/Si compositionsCheng, C.-H. et al.; Tzou, A.-J.; Chang, J.-H.; Chi, Y.-C.; Lin, Y.-H.; Shih, M.-H.; Lee, C.-K.; CHIH-I WU ; Kuo, H.-C.; Chang, C.-Y.; GONG-RU LIN Scientific Reports31113
1162016A low store energy and robust ReRAM-based flip-flop for normally off microprocessorsChien, T.-K.; Chiou, L.-Y.; Chuang, Y.-C.; Sheu, S.-S.; Li, H.-Y.; Wang, P.-H.; Ku, T.-K.; Tsai, M.-J.; Wu, C.-I.; CHIH-I WU Proceedings - IEEE International Symposium on Circuits and Systems110
1172016All-optical cross-absorption-modulation based Gb/s switching with silicon quantum dotsHuang, B.-J.; Wu, C.-L.; Cheng, C.-H.; Hsieh, C.-H.; Syu, S.-C.; Lin, Y.-H.; Wang, H.-Y.; Tsai, C.-T.; Chi, Y.-C.; Chang, P.-H.; Wu, C.-I.; CHIH-I WU ; GONG-RU LIN IEEE Journal on Selected Topics in Quantum Electronics812
1182016Effects of amorphous poly(3-hexylthiophene) on active-layer structure and solar cells performanceLiu, C.-H.; Tseng, W.-H.; Cheng, C.-Y.; Wu, C.-I.; Chou, P.-T.; Tung, S.-H.; CHIH-I WU ; SHIH-HUANG TUNG ; PI-TAI CHOU Journal of Polymer Science, Part B: Polymer Physics5
1192016Solution p-doped fluorescent polymers for enhanced charge transport of hybrid organic-silicon nanowire photovoltaicsTsai, Pei-Ting; Li, Ming-Chin; Lai, Yi-Chun; Tseng, Wei-Hsuan; Wu, Chih-; Chen, Si-Han; Lin, Yi-Cheng; Chen, Yu-Cheng; Hsiao, Rui-Chung; Horng, Sheng-Fu; Yu, Peichen; CHIH-I WU ; YI-CHENG LIN Organic Electronics55
1202016Enriching Si quantum dots in a Si-rich SiN <inf>x</inf> matrix for strong �q (3) optical nonlinearityWu, C.-L.; Lin, Y.-H.; Cheng, C.-H.; Su, S.-P.; Huang, B.-J.; Chang, J.-H.; CHIH-I WU ; Lee, C.-K.; GONG-RU LIN Journal of Materials Chemistry C3333
1212016Spectroscopic studies of the physical origin of environmental aging effects on doped grapheneChang, J.-K.; Hsu, C.-C.; Liu, S.-Y.; Wu, C.-I.; Gharib, M.; Yeh, N.-C.; CHIH-I WU Journal of Applied Physics77
1222016Passively mode-locked erbium-doped fiber laser with Gerich Si <inf>1-x</inf> Ge <inf>x</inf> saturable absorberLin, Y.-H.; Yang, C.-C.; Cheng, C.-H.; Wu, C.-I.; CHIH-I WU ; GONG-RU LIN Asia Communications and Photonics Conference, ACP00
1232016Stoichiometry detuned silicon carbide as an orange and white light band solid-state phosphorTai, H.-Y.; Chi, Y.-C.; Cheng, C.-H.; Wang, P.-S.; CHIH-I WU ; GONG-RU LIN RSC Advances34
1242016Easy Access to NO<inf>2</inf>-Containing Donor–Acceptor–Acceptor Electron Donors for High Efficiency Small-Molecule Organic Solar CellsTing, H.-C.; Yang, Y.-T.; Chen, C.-H.; Lee, J.-H.; Chang, J.-H.; Wu, C.-I.; Chiu, T.-L.; Lin, C.-F.; Chung, C.-L.; CHIH-I WU ; JIUN-HAW LEE ; Wong, K.-T. ChemSusChem1213
1252016Rear interface engineering of hybrid organic-silicon nanowire solar cells via blade coatingLai, Yi-Chun; Chang, Yu-Fan; Tsai, Pei-Ting; Chang, Jan-Kai; Tseng, Wei-Hsuan; Lin, Yi-Cheng; Hsiao, Chu-Yen; Zan, Hsiao-Wen; Wu, Chih-I. ; Chi, Gou-Chung; Meng, Hsin-Fei; YI-CHENG LIN Optics Express98
1262015A solution-processed molybdenum oxide treated silver nanowire network: A highly conductive transparent conducting electrode with superior mechanical and hole injection propertiesChang, J.-H.; Chiang, K.-M.; Kang, H.-W.; Chi, W.-J.; Chang, J.-H.; Wu, C.-I.; Lin, H.-W.; CHIH-I WU Nanoscale6667
1272015Shape-dependent light harvesting of 3D gold nanocrystals on bulk heterojunction solar cells: Plasmonic or optical scattering effect?Chiu, C.-Y.; Chou, S.-W.; Chen, H.-C.; Tsai, M.-L.; Kuo, Y.-C.; Lien, D.-H.; Tsao, Y.-C.; Huang, K.-Y.; Yeh, C.-T.; He, J.-H.; CHIH-I WU ; Huang, M.H.; PI-TAI CHOU Journal of Physical Chemistry C3434
1282015Single-step deposition of high-mobility graphene at reduced temperaturesBoyd, D.A.; CHIH-I WUet al. Nature Communications125122
1292015Graphene Anodes and Cathodes: Tuning the Work Function of Graphene by Nearly 2 eV with an Aqueous Intercalation ProcessChang, J.-K.; Lin, W.-H.; Taur, J.-I.; Chen, T.-H.; Liao, G.-K.; Pi, T.-W.; Chen, M.-H.; Wu, C.-I.; CHIH-I WU ACS Applied Materials and Interfaces4141
1302015The effects of fluorine-contained molecules on improving the polymer solar cell by curing the anomalous S-shaped I-V curveTseng, C.-A.; Han, H.-C.; Chong, C.-W.; Chang, C.-C.; Lin, C.-F.; Wang, S.-B.; Tseng, W.-H.; CHIH-I WU ; JIUN-HAW LEE ; Chang, S.-J.; Chen, K.-H.; LI-CHYONG CHENACS Applied Materials and Interfaces23
1312015A Fully Transparent Resistive Memory for Harsh EnvironmentsYang, P.-K.; Ho, C.-H.; Lien, D.-H.; Dur\\'an Retamal, J.R.; Kang, C.-F.; Chen, K.-M.; Huang, T.-H.; Yu, Y.-C.; Wu, C.-I.; He, J.-H.; CHIH-I WU Scientific Reports2217
1322015An exciplex forming host for highly efficient blue organic light emitting diodes with low driving voltageLee, J.-H.; Cheng, S.-H.; Yoo, S.-J.; Shin, H.; Chang, J.-H.; Wu, C.-I.; Wong, K.-T.; Kim, J.-J.; CHIH-I WU ; KEN-TSUNG WONG Advanced Functional Materials265244
1332015A Flexible, Stretchable and Shape-Adaptive Approach for Versatile Energy Conversion and Self-Powered Biomedical MonitoringYang, P.-K.; Lin, L.; Yi, F.; Li, X.; Pradel, K.C.; Zi, Y.; Wu, C.-I.; He, J.-H.; Zhang, Y.; Wang, Z.L.; CHIH-I WU Advanced Materials218210
1342015Economical low-light photovoltaics by using the Pt-free dye-sensitized solar cell with graphene dot/PEDOT: PSS counter electrodesLee, C.-P.; Lin, C.-A.; Wei, T.-C.; Tsai, M.-L.; Meng, Y.; Li, C.-T.; Ho, K.-C.; Wu, C.-I.; Lau, S.-P.; He, J.-H.; CHIH-I WU ; KUO-CHUAN HO Nano Energy
1352015Strong optical nonlinearity of the nonstoichiometric silicon carbideCheng, C.-H.; Wu, C.-L.; Lin, Y.-H.; Yan, W.-L.; Shih, M.-H.; Chang, J.-H.; CHIH-I WU ; Lee, C.-K.; GONG-RU LIN Journal of Materials Chemistry C4947
1362015Enhancing Optical Nonlinearity in a Nonstoichiometric SiN Waveguide for Cross-Wavelength All-Optical Data ProcessingWu, C.-L.; Lin, Y.-H.; Su, S.-P.; Huang, B.-J.; Tsai, C.-T.; Wang, H.-Y.; Chi, Y.-C.; Wu, C.-I.; CHIH-I WU ; GONG-RU LIN ACS Photonics7168
1372015Nearly warm white-light emission of silicon-rich amorphous silicon carbideTai, H.-Y.; Cheng, C.-H.; Wang, P.-S.; CHIH-I WU ; GONG-RU LIN RSC Advances87
1382015Si-rich SiN<inf>x</inf> based Kerr switch enables optical data conversion up to 12 Gbit/sLin, G.-R.; Su, S.-P.; Wu, C.-L.; Lin, Y.-H.; Huang, B.-J.; Wang, H.-Y.; Tsai, C.-T.; Wu, C.-I.; CHIH-I WU ; GONG-RU LIN Scientific Reports7265
1392015Semi-transparent silicon-rich silicon carbide photovoltaic solar cellsCheng, C.-H.; Chang, J.-H.; CHIH-I WU ; GONG-RU LIN RSC Advances99
1402015Charge storage characteristics of nonvolatile memories with chemically-synthesized and vacuum-deposited gold nanoparticlesWang, Jer-Chyi; Liao, Chin-Hsiang; Lin, Chih-Ting ; Chang, Ruey-Dar; Chang, Li-Chun; Wu, Chih-I.; CHIH-I WU ; Chang, Jung-HungCurrent Applied Physics43
1412015Composition ratio dependent refractive index and optical bandgap of nonstoichiometric Si<inf>1-x</inf>Ge<inf>x</inf> on siliconHuang, B.-J.; Wu, C.-L.; Lin, Y.-H.; Chang, P.-H.; Wu, C.-I.; CHIH-I WU ; GONG-RU LIN 4th International Symposium on Next-Generation Electronics, IEEE ISNE 201500
1422015Using n- and p-Type Bi&lt;inf&gt;2&lt;/inf&gt;Te&lt;inf&gt;3&lt;/inf&gt; topological insulator nanoparticles to enable controlled femtosecond mode-locking of fiber lasersLin, Y.-H.; Lin, S.-F.; Chi, Y.-C.; Wu, C.-L.; Cheng, C.-H.; Tseng, W.-H.; He, J.-H.; Wu, C.-I.; Lee, C.-K.; CHIH-I WU ; GONG-RU LIN ACS Photonics197184
1432015Solution-processed transparent blue organic light-emitting diodes with graphene as the top cathodeChang, J.-H.; Lin, W.-H.; Wang, P.-C.; Taur, J.-I.; Ku, T.-A.; Chen, W.-T.; Yan, S.-J.; Wu, C.-I.; CHIH-I WU Scientific Reports5145
1442014Semi-transparent Si-rich Si<inf>x</inf>C<inf>1-x</inf> p-i-n photovoltaic solar cell grown by hydrogen-free PECVDCheng, C.-H.; Lin, Y.-H.; Chang, J.-H.; CHIH-I WU ; GONG-RU LIN RSC Advances1413
1452014Highly efficient visible light photocatalytic reduction of co2 to hydrocarbon fuels by cu-nanoparticle decorated graphene oxideShown, I.; Hsu, H.-C.; Chang, Y.-C.; Lin, C.-H.; Roy, P.K.; Ganguly, A.; Wang, C.-H.; Chang, J.-K.; Wu, C.-I.; Chen, L.-C. ; CHIH-I WU Nano Letters296274
1462014Ultra-high sensitivity graphene photosensorsHsieh, Y.-P.; Yen, C.-H.; Lin, P.-S.; Ma, S.-W.; Ting, C.-C.; Wu, C.-I.; Hofmann, M.; CHIH-I WU ; Mario Hofmann Applied Physics Letters1616
1472014The origins in the transformation of ambipolar to n-type pentacene-based organic field-effect transistorsTsai, T.-D.; Chang, J.-W.; Wang, C.-G.; Lin, M.-W.; Guo, T.-F.; Wen, T.-C.; Chang, J.-H.; Wu, C.-I.; CHIH-I WU Organic Electronics: physics, materials, applications33
1482014Soliton compression of the erbium-doped fiber laser weakly started mode-locking by nanoscale p-type Bi <inf>2</inf> Te <inf>3</inf> topological insulator particlesLin, Y.-H.; Yang, C.-Y.; Lin, S.-F.; Tseng, W.-H.; Bao, Q.; Wu, C.-I.; CHIH-I WU ; GONG-RU LIN Laser Physics Letters149138
1492014Monolayer MoS<inf>2</inf> heterojunction solar cellsTsai, M.-L.; Su, S.-H.; Chang, J.-K.; Tsai, D.-S.; Chen, C.-H.; Wu, C.-I.; Li, L.-J.; Chen, L.-J.; He, J.-H.; CHIH-I WU ACS Nano1050995
1502014Formation of perfect ohmic contact at indium tin oxide/N,N′- di(naphthalene-1-yl)-N,N′-diphenyl-benzidine interface using ReO 3Yoo, S.-J.; Chang, J.-H.; Lee, J.-H.; Moon, C.-K.; Wu, C.-I.; Kim, J.-J.; CHIH-I WU Scientific Reports4745
1512014Comprehensive study of medium-bandgap conjugated polymer merging a fluorinated quinoxaline with branched side chains for highly efficient and air-stable polymer solar cellsTseng, Wei-Hsuan; Chen, Hsieh-Chih; Chien, Yun-Chen; Liu, Chi-Chang; Peng, Yung-Kang; Wu, Yu-Sin; Chang, Jung-Hung; Liu, Shih-Hung; Chou, Shang-Wei; Liu, Chien-Liang; Chen, Ying-Hsiao; Wu, Chih-I.; CHIH-I WU ; PI-TAI CHOU ; CHI-CHANG LIU Journal of Materials Chemistry A1616
1522014Effect of different p-dopants in an interconnection unit on the performance of tandem organic solar cellsShim, H.-S.; Chang, J.-H.; Wu, C.-I.; Kim, J.-J.; CHIH-I WU Organic Electronics: physics, materials, applications65
1532014Strategic design of three-dimensional (3D) urchin-like Pt-Ni nanoalloys: How this unique nanostructure boosts the bulk heterojunction polymer solar cells efficiency to 8.48%Chou, S.-W.; Chen, H.-C.; Zhang, Z.; Tseng, W.-H.; CHIH-I WU ; Yang, Y.-Y.; Lin, C.-Y.; PI-TAI CHOU Chemistry of Materials1515
1542014Stability improvement of organic light emitting diodes by the insertion of hole injection materials on the indium tin oxide substrateChang, J.-H.; Liu, S.-Y.; Wu, I.-W.; Chen, T.-C.; Liu, C.-W.; Wu, C.-I.; CHIH-I WU Journal of Applied Physics99
1552014A direct and polymer-free method for transferring graphene grown by chemical vapor deposition to any substrateLin, W.-H.; Chen, T.-H.; Chang, J.-K.; Taur, J.-I.; Lo, Y.-Y.; Lee, W.-L.; Chang, C.-S.; Su, W.-B.; Wu, C.-I.; CHIH-I WU ACS Nano154146
1562014Monolayer MoSe<inf>2</inf> grown by chemical vapor deposition for fast photodetectionChang, Y.-H.; CHIH-I WUet al. ACS Nano490488
1572014New molecular donors with dithienopyrrole as the electron-donating group for efficient small-molecule organic solar cellsLu, H.-I.; Lu, C.-W.; Lee, Y.-C.; Lin, H.-W.; Lin, L.-Y.; Lin, F.; Chang, J.-H.; Wu, C.-I.; Wong, K.-T.; CHIH-I WU ; KEN-TSUNG WONG Chemistry of Materials5049
1582014Correlation of the electronic structure of an interconnection unit with the device performance of tandem organic solar cellsShim, H.-S.; Chang, J.-H.; Yoo, S.-J.; Wu, C.-I.; Kim, J.-J.; CHIH-I WU Journal of Materials Chemistry A55
1592014Bridging donor-acceptor energy offset using organic dopants as energy ladders to improve open-circuit voltages in bulk-heterojunction solar cellsChang, J.-K.; Kuo, Y.-C.; Chen, Y.-J.; Lo, A.-L.; Liu, I.-H.; Tseng, W.-H.; Wu, K.-H.; Chen, M.-H.; Wu, C.-I.; CHIH-I WU Organic Electronics: physics, materials, applications1313
1602014Alternating current driven organic light emitting diodes using lithium fluoride insulating layersLiu, S.-Y.; Chang, J.-H.; Wu, I.-W.; Wu, C.-I.; CHIH-I WU Scientific Reports2523
1612013Single-layer blue electrophosphorescent organic light-emitting diodes based on small-molecule mixed hosts: Comparison between the solution and vacuum fabrication processesLiu, S.-W.; Chang, Y.-T.; Lee, C.-C.; Yuan, C.-H.; Liu, L.-A.; Chen, Y.-S.; Lin, C.-F.; Wu, C.-I.; Chen, C.-T.; CHIH-I WU Japanese Journal of Applied Physics1813
1622013Self-amplitude and self-phase modulation of the charcoal mode-locked erbium-doped fiber lasersLin, Y.-H.; Lo, J.-Y.; Tseng, W.-H.; Wu, C.-I.; CHIH-I WU ; GONG-RU LIN Optics Express2419
1632013High density unaggregated au nanoparticles on ZnO nanorod arrays function as efficient and recyclable photocatalysts for environmental purificationYang, T.-H.; Huang, L.-D.; Harn, Y.-W.; Lin, C.-C.; Chang, J.-K.; Wu, C.-I.; Wu, J.-M.; CHIH-I WU Small112109
1642013High-efficiency small-molecule-based organic light emitting devices with solution processes and oxadiazole-based electron transport materialsChang, Y.-T.; CHIH-I WU et al. ACS Applied Materials and Interfaces2524
1652013Fabricating graphite nano-sheet powder by slow electrochemical exfoliation of large-scale graphite foil as a mode-locker for fiber lasersYang, C.-Y.; Wu, C.-L.; Lin, Y.-H.; Tsai, L.-H.; Chi, Y.-C.; Chang, J.-H.; Wu, C.-I.; Tsai, H.-K.; Tsai, D.-P.; CHIH-I WU ; GONG-RU LIN Optical Materials Express2925
1662013Comparison of light out-coupling enhancements in single-layer blue-phosphorescent organic light emitting diodes using small-molecule or polymer hostsChang, Y.-T.; CHIH-I WU et al. Journal of Applied Physics87
1672013Enhancing the incorporation compatibility of molybdenum oxides in organic light emitting diodes with gap state formationsWang, P.-S.; Lo, Y.-Y.; Tseng, W.-H.; Chen, M.-H.; Wu, C.-I.; CHIH-I WU Journal of Applied Physics1918
1682013Improved corrosion resistance of GaN electrodes in NaCl electrolyte for photoelectrochemical hydrogen generationTu, D.-H.; Wang, H.-C.; Wang, P.-S.; Cheng, W.-C.; Chen, K.-H.; Wu, C.-I. ; Chattopadhyay, S.; Li-Chyong ChenInternational Journal of Hydrogen Energy
1692013Single-layer organic-inorganic-hybrid thin-film encapsulation for organic solar cellsLi Y.-S.; Tsai C.-H.; Kao S.-H.; Wu I.-W.; Chen J.-Z.; Wu C.-I.; Lin C.-F.; JIAN-ZHANG CHEN ; CHIH-I WU ; CHING-FUH LIN ; I-CHUN CHENG Journal of Physics D: Applied Physics1513
1702013The effects of MoO<inf>3</inf> treatment on inverted PBDTTT-C:PC <inf>71</inf>BM solar cellsHo, P.-Y.; Sun, J.-Y.; Kao, S.-H.; Kao, C.-Y.; Lin, S.-H.; Lan, S.; Tseng, W.-H.; Wu, C.-I.; Lin, C.-F.; CHIH-I WU ; CHING-FUH LIN Solar Energy Materials and Solar Cells1514
1712013Low-Power and high-reliability gadolinium oxide resistive switching memory with remote ammonia plasma treatmentWang, J.-C.; Ye, Y.-R.; Syu, J.-S.; Wu, P.-R.; Wu, C.-I.; Wang, P.-S.; CHIH-I WU Japanese Journal of Applied Physics156
1722013Performance enhancement in inverted polymer photovoltaics with solution-processed MoOX and air-plasma treatment for anode modificationSun, J.-Y.; Tseng, W.-H.; Lan, S.; Lin, S.-H.; Yang, P.-C.; Wu, C.-I.; Lin, C.-F.; CHIH-I WU ; CHING-FUH LIN Solar Energy Materials and Solar Cells3029
1732013Characterization of gadolinium oxide thin films with CF4 plasma treatment for resistive switching memory applicationsWang, Jer-Chyi; Ye, Yu-Ren; Lai, Chao-Sung; Lin, Chih-Ting ; Lu, Hsin-Chun; Wu, Chih-I. ; Wang, Po-ShengApplied Surface Science2119
1742013SiC and Si Quantum Dots Co-Precipitated Si-Rich SiC Film with n- and p-Type Dopants Grown by Hydrogen-Free PECVDTai, Hung-Yu; Lee, Chiao-Ti; Tsai, Lin-Hsuan; Lin, Yung-Hsiang; Pai, Yi-Hao; Wu, Chih-I.; CHIH-I WU ; GONG-RU LIN Ecs Journal of Solid State Science and Technology06
1752013Hybrid polarity and carrier injection of gold and gadolinium oxide bi-nanocrystals structureWang, Jer-Chyi; Lin, Chih-Ting; Huang, Po-Wei; Lai, Chao-Sung; Chang, Li-Chun; Wu, Chih-I.; CHIH-I WU ; CHIH-TING LIN Applied Physics Letters22
1762013Solution-processed hexaazatriphenylene hexacarbonitrile as a universal hole-injection layer for organic light-emitting diodesLin, H.-W.; Lin, W.-C.; Chang, J.-H.; Wu, C.-I.; CHIH-I WU Organic Electronics: physics, materials, applications4241
177201313% Efficiency hybrid organic/silicon-nanowire heterojunction solar cell via interface engineeringYu, P.; CHIH-I WU et al. ACS Nano192184
1782013A comparative study on the adsorption behavior of pentacene and perfluoropentacene molecules on Au(111) surfacesLo, Y.-Y.; Chang, J.-H.; Hoffmann, G.; Su, W.-B.; Wu, C.-I.; Chang, C.-S.; CHIH-I WU Japanese Journal of Applied Physics1413
1792013Metal-induced molecular diffusion in [6,6]-phenyl-C61-butyric acid methyl ester poly(3-hexylthiophene) based bulk-heterojunction solar cellsTseng, W.-H.; Lo, H.; Chang, J.-K.; Liu, I.-H.; Chen, M.-H.; Wu, C.-I.; CHIH-I WU Applied Physics Letters88
1802013Nano-crystalline silicon-based bottom gate thin-film transistor grown by LTPECVD with hydrogen-free he diluted SiH <inf>4</inf>Cheng, C.-H.; Wang, P.-S.; Wu, C.-I.; CHIH-I WU ; GONG-RU LIN IEEE/OSA Journal of Display Technology1012
1812012Probing surface band bending of surface-engineered metal oxide nanowiresChen, C.-Y.; Retamal, J.R.D.; Wu, I.-W.; Lien, D.-H.; Chen, M.-W.; Ding, Y.; Chueh, Y.-L.; Wu, C.-I.; He, J.-H.; CHIH-I WU ACS Nano143141
1822012The investigation of the diffusion length of cathode materials in organic light emitting devices through impedance characteristicsWu, I.-W.; Chuang, C.-L.; Wang, P.-S.; Tseng, W.-H.; Wu, C.-I.; CHIH-I WU Applied Physics Letters87
1832012Enhancements in device efficiency of poly(3-hexylthiophene): [6,6]-phenyl C 61-butyric acid methyl ester based solar cells with incorporation of bathocuproineTseng, W.-H.; Chen, M.-H.; Chang, C.-C.; Lin, W.-H.; Chen, L.-C. ; Chen, K.-H.; CHIH-I WU Thin Solid Films44
1842012Self-aggregated Si quantum dots in amorphous Si-rich SiCLo, Tzu-Chieh; Tsai, Ling-Hsuan; Cheng, Chih-Hsien; Wang, Po-Sheng; Pai, Yi-Hao; Wu, Chih-I.; CHIH-I WU ; GONG-RU LIN Journal of Non-Crystalline Solids1516
1852012Large AuAg Alloy Nanoparticles Synthesized in Organic Media Using a One-Pot Reaction: Their Applications for High-Performance Bulk Heterojunction Solar CellsChen, Hsieh-Chih; Chou, Shang-Wei; Tseng, Wei-Hsuan; Chen, I.-Wen P.; Liu, Chi-Chang; Liu, Chun; Liu, Chien-Liang; Chen, Chun-Hsien; Wu, Chih-I.; CHIH-I WU ; CHUN-HSIEN CHEN ; PI-TAI CHOU ; CHI-CHANG LIU Advanced Functional Materials7975
1862012A new model for optimization of organic light-emitting device by concurrent incorporation of electrical and optical simulationsChang, Y.-T.; Liu, S.-W.; Chen, C.-T.; Yuan, C.-H.; Liu, L.-A.; Chen, Y.-S.; Su, W.-C.; Lee, K.-L.; Wu, C.-I.; Lee, C.-C.; CHIH-I WU Journal of Applied Physics55
1872012Optimization of polymer light emitting devices using TiO <inf>x</inf> electron transport layers and prism sheetsHo, Y.-H.; Chang, Y.-T.; Liu, S.-W.; Lai, H.-H.; Chu, C.-W.; Wu, C.-I.; Tian, W.-C.; Wei, P.-K.; CHIH-I WU ; WEI-CHENG TIAN Organic Electronics: physics, materials, applications44
1882012Self-assembled monolayer modification of silver source-drain electrodes for high-performance pentacene organic field-effect transistorsChen, H.-Y.; Wu, I.-W.; Chen, C.-T.; Liu, S.-W.; Wu, C.-I.; CHIH-I WU Organic Electronics: physics, materials, applications1716
1892012Highly transparent p-type ZnO thin films prepared by non-toxic sol-gel processChuang, C.-L.; Wang, W.-J.; Wang, C.-Y.; Tseng, W.-H.; Wu, C.-I.; CHIH-I WU Electrochemical and Solid-State Letters66
1902012Application of F4TCNQ doped spiro-MeOTAD in high performance solid state dye sensitized solar cellsChen, D.-Y.; Tseng, W.-H.; Liang, S.-P.; Wu, C.-I.; Hsu, C.-W.; Chi, Y.; Hung, W.-Y.; Chou, P.-T.; CHIH-I WU ; PI-TAI CHOU Physical Chemistry Chemical Physics7375
1912012Enhancement of poly(3-hexylthiophene)-based solar cell with thermal-evaporated [6,6]-phenyl-C60 butyric acid methyl ester layersTseng, W.-H.; Wang, J.-Y.; Chen, M.-H.; Wang, C.-Y.; Lo, H.; Wu, C.-I.; CHIH-I WU Journal of Photonics for Energy44
1922012Inverted OLEDs for flexible displays1,2Kim, J.-J.; Lee, J.-H.; Kim, J.W.; Kim, S.-Y.; Yoo, S.-J.; Wang, P.-S.; Wu, C.-I.; CHIH-I WU Proceedings of SPIE - The International Society for Optical Engineering00
1932012Transient UV and visible luminescent dynamics of Si-rich SiO <inf>x</inf> metal-oxide-semiconductor light-emitting diodesLin, G.-R.; Chang, C.-H.; Cheng, C.-H.; Wu, C.-I.; CHIH-I WU ; GONG-RU LIN IEEE Photonics Journal98
1942012Correlations of impedance-voltage characteristics and carrier mobility in organic light emitting diodesWu, I.-W.; Wang, P.-S.; Tseng, W.-H.; Chang, J.-H.; Wu, C.-I.; CHIH-I WU Organic Electronics: physics, materials, applications7976
1952012Finite silicon atom diffusion induced size limitation on self-assembled silicon quantum dots in silicon-rich silicon carbideLin, G.-R.; Lo, T.-C.; Tsai, L.-H.; Pai, Y.-H.; Cheng, C.-H.; Wu, C.-I.; CHIH-I WU ; GONG-RU LIN Journal of the Electrochemical Society3938
1962011Indium tin oxide sol-gel precursor conversion process using the third harmonics of Nd:YAG laserChen, J.Z.; Huang, C.-P.; Tseng, W.-H.; Cheng, I.-C.; Wu, C.-I.; I-CHUN CHENG ; JIAN-ZHANG CHEN ; CHIH-I WU Applied Surface Science44
1972011Improvements of electron injection efficiency using subphthalocyanine mixed with lithium fluoride in cathode structures of organic light emitting diodesChen, Y.-H.; Cheng, Y.-J.; Lee, G.-R.; Wu, C.-I.; Pi, T.-W.; CHIH-I WU Organic Electronics: physics, materials, applications1110
1982011Enhancement of current injection in organic light emitting diodes with sputter treated molybdenum oxides as hole injection layersWang, P.-S.; Wu, I.-W.; Tseng, W.-H.; Chen, M.-H.; Wu, C.-I.; CHIH-I WU Applied Physics Letters3030
1992011A high performance inverted organic light emitting diode using an electron transporting material with low energy barrier for electron injectionLee, J.-H.; Wang, P.-S.; Park, H.-D.; Wu, C.-I.; Kim, J.-J.; CHIH-I WU Organic Electronics: physics, materials, applications7773
2002011Investigations of efficiency improvements in poly(3-hexylthiophene) based organic solar cells using calcium cathodesTseng, W.-H.; Chen, M.-H.; Wang, J.-Y.; Tseng, C.-T.; Lo, H.; Wang, P.-S.; Wu, C.-I.; CHIH-I WU Solar Energy Materials and Solar Cells2625
2012011Au nanoparticle modified GaN photoelectrode for photoelectrochemical hydrogen generationTu, W.-H.; Hsu, Y.-K.; Yen, C.-H.; Wu, C.-I. ; Hwang, J.-S.; Chen, L.-C. ; Chen, Kuei-HsienElectrochemistry Communications1414
2022011Tuning energy levels in magnesium modified Alq3Lin, C.-H.; Chou, C.-T.; Chen, Y.-H.; Wu, M.-H.; Lee, J.-H.; Wu, C.-I.; Chattopadhyay, S.; Liu, C.-H.J.; Song, K.-J.; Tai, Y.; Wang, J.-K. ; Chen, K.-H.; JIUN-HAW LEE ; CHIH-I WU Journal of Applied Physics43
2032011Zero dipole formation at HfGdO/SiO2 interface by Hf/Gd dual-sputtered methodWang, J.-C.; Chou, P.-C.; Lai, C.-S.; Lin, J.-Y.; Chang, W.-C.; Lu, H.-C.; Wu, C.-I.; Wang, P.-S.; CHIH-I WU Journal of the Electrochemical Society89
2042010Effectiveness of tris-(8-Hydroxyquinoline)-aluminum doped with cesium-derivatives in organic light-emitting diodesChen, Mei-Hsin; Lu, Yin-Jui; Wu, Chung-Chih; Wu, Chih-I; CHUNG-CHIH WU ; CHIH-I WU Thin Solid Films67
2052010Interfacial Interactions and Doping in Organic Light-Emitting Diodes Incorporated with Cesium-based CompoundsChen, Mei-Hsin; Lu, Yin-Jui; Chang, Yu-Jen; Wu, Chung-Chih ; Wu, Chih-I Electrochemical and Solid-State Letters32
2062010Enhancement of current injection in inverted organic light emitting diodes with thermal annealingWang, P.-S.; Wu, I.-W.; CHIH-I WU Journal of Applied Physics1614
2072010Formation of gap states and enhanced current injection efficiency in organic light emitting diodes incorporated with subphthalocyanineChen, Y.-H.; Chang, Y.-J.; Lee, G.-R.; Chang, J.-H.; Wu, I.-W.; Fang, J.-H.; Hsu, S.-H.; Liu, S.-W.; Wu, C.-I.; Pi, T.-W.; CHIH-I WU Organic Electronics: physics, materials, applications1818
2082010Influences of evaporation temperature on electronic structures and electrical properties of molybdenum oxide in organic light emitting devicesLin, C.-T.; Yeh, C.-H.; Chen, M.-H.; Hsu, S.-H.; Wu, C.-I.; Pi, T.-W.; CHIH-I WU Journal of Applied Physics2624
2092010Effects of electronic confinement and substrate on the low-temperature growth of Pb islands on Si(100)-2 x 1 surfacesHsu, C. C.; Lin, W. H.; Ou, Y. S.; Su, W. B.; Chang, C. S.; Wu, C. I.; CHIH-I WU ; JERRY CHENG-CHE HSU Surface Science44
2102010Morphological evolution of the poly(3-hexylthiophene)/[6,6]-phenyl-C <inf>61</inf>-butyric acid methyl ester, oxidation of the silver electrode, and their influences on the performance of inverted polymer solar cells with a sol-gel derived zinc oxide electron selective layerLiu, M.-Y. et al.; Chang, C.-H.; Chang, C.-H.; Tsai, K.-H.; Huang, J.-S.; Chou, C.-Y.; Wang, I.-J.; Wang, P.-S.; Lee, C.-Y.; Chao, C.-H.; Yeh, C.-L.; CHIH-I WU ; CHING-FUH LIN Thin Solid Films2223
2112010Correlation of energy band alignment and turn-on voltage in organic light emitting diodesWu, I.-W.; Chen, Y.-H.; Wang, P.-S.; Wang, C.-G.; Hsu, S.-H.; Wu, C.-I.; CHIH-I WU Applied Physics Letters2121
2122009Electronic and chemical properties of molybdenum oxide doped hole injection layers in organic light emitting diodesWu, Chih-I ; Lin, Chang-Ting; Lee, Guan-Ru; Cho, Ting-Yi; Wu, Chung-Chih ; Pi, Tun-WenJournal of Applied Physics4746
2132009High-luminescence non-doped green OLEDs based on a 9,9-diarylfluorene-terminated 2,1,3-benzothiadiazole derivativeKu, Sung-Yu; Chi, Liang-Chen; Hung, Wen-Yi; Yang, Shih-Wei; Tsai, Tsung-Cheng; Wong, Ken-Tsung ; Wu, Chih-I Journal of Materials Chemistry5460
2142009Low-temperature electronic structures and intramolecular interaction of oligofluorenes studied by synchrotron photoemission spectroscopyLee, G.-R.; Chen, Y.-H.; Lin, C.-T.; Wu, C.-I.; Pi, T.-W.; Wu, C.-C.; Wong, K.-T.Lee, Guan-Ru; Chen, Yu-Hung; Lin, Chang-Ting; Wu, Chih-I ; Pi, Tun-Wen; Wu, Chung-Chih ; Wong, Ken-Tsung Applied Physics Letters11
2152009A thermally cured 9,9-diarylfluorene-based triaryldiamine polymer displaying high hole mobility and remarkable ambient stabilityLin, C.-Y.; Lin, Y.-C.; Hung, W.-Y.; KEN-TSUNG WONG ; Kwong, R.C.; Xia, S.C.; Chen, Y.-H.; CHIH-I WU Journal of Materials Chemistry5350
2162009High-luminescence non-doped green OLEDs based on a 9,9-diarylfluorene- terminated 2,1,3-benzothiadiazole derivativeKu, S.-Y.; Chi, L.-C.; Hung, W.-Y.; Yang, S.-W.; Tsai, T.-C.; Wong, K.-T.; Chen, Y.-H.; Wu, C.-I.; CHIH-I WU ; KEN-TSUNG WONG Journal of Materials Chemistry6360
2172009Electronic and chemical properties of cathode structures using4,7-diphenyl-1,10-phenanthroline doped with rubidium carbonate as electron injection layersChen, Mei-Hsin; Chen, Yu-Hung; Lin, Chang-Tin; Lee, Guan-Ru; Wu, Chih-I; Leem, Dong-Seok; Kim, Jang-Joo; Pi, Tun-Wen; CHIH-I WU Journal of Applied Physics3431
2182009Hydroxynaphthyridine-derived group III metal chelates: Wide band gap and dee blue analogues of green Alq (tris(8-hydroxyquinolate)aluminum) and their versatile applications for organic light-emitting diodesLiao, S.-H.; Shiu, J.-R.; Liu, S.-W.; Yeh, S.-J.; Chen, Y.-H.; Chen, C.-T.; Chow, T.J.; Wu, C.-I.; CHIH-I WU Journal of the American Chemical Society151143
2192009Rubidium-carbonate-doped 4,7-diphenyl-1,10-phenanthroline electron transporting layer for high-efficiency p-i-n organic light emitting diodesLeem, Dong-Seok; Kim, Sei-Yong; Kim, Jang-Joo; Chen, Mei-Hsin; Wu, Chih-I Electrochemical Solid-State Letter4844
2202009Enhancement in current efficiency in organic light-emitting diodes with incorporation of subphthalocyanineChen, Yu-Hung; Chang, Jung-Hung; Lee, Guan-Ru; Wu, I-Wen; Fang, Jheng-Hao; Wu, Chih-I; Pi, Tun-Wen; CHIH-I WU Applied Physics Letters2018
2212009Correlation of interfacial electronic structures and transport properties in organic light emitting diodesWu, I.-W.; Chen, Y.-H.; Wang, C.-K.; Wu, C.-I.; CHIH-I WU Proceedings of SPIE - The International Society for Optical Engineering00
2222008有機光電半導體中分子內/間之電子結構和介面載子傳輸特性之研究 (新制多年期第1年)吳志毅 
2232008Analytical solution to space charge limited currents with exponentially distributed trapsChen, C.-W.; Wu, C.-I.; CHIH-I WU Journal of Applied Physics53
2242008Two-dimensional dopant profiling by electrostatic force microscopy using carbon nanotube modified cantileversChin, S.-C.; Chang, Y.-C.; Hsu, C.-C.; Lin, W.-H.; Wu, C.-I.; Chang, C.-S.; Tsong, T.T.; Woon, W.-Y.; Lin, L.-T.; Tao, H.-J.; CHIH-I WU Nanotechnology68
2252008The roles of thermally evaporated cesium carbonate to enhance the electron injection in organic light emitting devicesChen, M.-H.; Wu, C.-I.; Wu, Chih-I Journal of Applied Physics5544
2262008Hydroxynaphthyridine-Derived Group III Metal Chelates: Wide Band Gap and Deep Blue Analogues of Green Alq3 (Tris(8-hydroxyquinolate)aluminum) and Their Versatile Applications for Organic Light-Emitting DiodesLiao, Szu-Hung; Shiu, Jin-Ruei; Liu, Shun-Wei; Yeh, Shi-Jay; Chen, Chin-Ti; Chow, Tahsin J.; Wu, Chih-I Journal of the American Chemical Society 
2272008An electron-transporting host material compatible with diverse triplet emitters used for highly efficient red- and green-electrophosphorescent devicesHwu, T.-Y.; Tsai, T.-C.; Hung, W.-Y.; Chang, S.-Y.; Chi, Y.; Chen, M.-H.; Wu, C.-I.; Wong, K.-T.; Chi, L.-C.; CHIH-I WU ; KEN-TSUNG WONG Chemical Communications3230
2282008Investigations of electron-injection mechanisms and interfacial chemical reactions of Bphen doped with rubidium carbonate in OLEDsChen, M.-H.; Leem, D.-S.; Lin, C.T.; Lee, G.R.; Pi, T.-W.; Kim, J.-J.; Wu, C.-I.; CHIH-I WU Proceedings of SPIE - The International Society for Optical Engineering50
2292007有機光電半導體中分子內/間之電子結構和介面載子傳輸特性之研究吳志毅 
2302007有機光電半導電子結構和介面載子傳輸特性之研究(I)吳志毅 
2312007有機光電半導體中分子內/間之電子結構和介面載子傳輸特性之研究 (新制多年期第2年)吳志毅 
2322007Characterization of the Ultrathin HfO2 and Hf-Silicate Films Grown by Atomic Layer DepositionChen, Tze Chiang; Peng, Cheng-Yi; Tseng, Chih-Hung; Liao, Ming-Han ; Chen, Mei-Hsin; Wu, Chih-I ; Chern, Ming-Yau ; Tzeng, Pei-Jer; Liu, Chee Wee IEEE Transactions on Electron Devices3330
2332007Investigations of molybdenumoxide as the anode-insensitive hole-injection layerCho, T.-Y.; Lin, C.-T.; Lu, Y.-J.; Yang, C.-J.; Ke, T.-H.; Wu, C.-I.; Wu, C.-C.; CHIH-I WU IDMC 2007 - International Display Manufacturing Conference and FPD Expo
2342007The roles of thermal evaporated cesium carbonate in organic light emitting devicesChen, M.-H.; Wu, C.-I.; CHIH-I WU IDMC 2007 - International Display Manufacturing Conference and FPD Expo
2352007Interfacial physics in organic light emitting devicesWu, C.-I.; CHIH-I WU Proceedings of SPIE - The International Society for Optical Engineering00
2362007Mechanisms of enhanced hole-injection in organic light-emitting devices with MoO3 layersLin, C.-T.; Lee, G.-R.; CHIH-I WU ; Cho, T.-Y.; CHUNG-CHIH WU ; Pi, T.-W.IDMC 2007 - International Display Manufacturing Conference and FPD Expo0
2372006Electronic structures and electron-injection mechanisms of cesium-carbonate-incorporated cathode structures for organic light-emitting devicesCHIH-I WU ; C.-T. Lin; Y.-H. Chen; M.-H. Chen; Y.-J. Lu; CHUNG-CHIH WU Applied Physics Letters181167
2382006Enhanced hole-injection in organic light-emitting devices by utilizing F4-TCNQ and the interface analysis by ultraviolet photoelectron spectroscopyPeng, K.Y.; Lin, C.C.; Lee, C.T.; Wu, C.I.; CHIH-I WU IDW '06 - 13th International Display Workshops
2392006Highly efficient organic blue electrophosphorescent devices based on 3,6-Bis(triphenylsilyl)carbazole as the host materialTsai, M.-H.; Lin, H.-W.; Su, H.-C.; Ke, T.-H.; Wu, C.-C.; Fang, F.-C.; Liao, Y.-L.; Wong, K.-T.; CHIH-I WU ; CHUNG-CHIH WU ; KEN-TSUNG WONG Advanced Materials477447
2402006Employing ambipolar oligofluorene as the charge-generation layer in time-of-flight mobility measurements of organic thin filmsHung, W.-Y.; Ke, T.-H.; Lin, Y.-T.; CHUNG-CHIH WU ; Hung, T.-H.; Chao, T.-C.; KEN-TSUNG WONG ; CHIH-I WU Applied Physics Letters126123
2412006Highly efficient blue phosphorescent OLEDs using large bandgap host materialsFang, F.-C.; Liao, Y.-L.; Wong, K.-T.; Tsai, M.-H.; Lin, H.-W.; Su, H.-C.; Wu, C.-C.; CHIH-I WU ; KEN-TSUNG WONG ; CHUNG-CHIH WU Proceedings of SPIE - The International Society for Optical Engineering10
2422005光電材料表面/介面電子能階特性之研究(1/2)吳志毅 
2432005Influences of Substitution on Electronic Structures of OligofluorenesWu, Chih-I ; Lee, Guan-Ru; Lin, Chan-Tin; Chen, Yu-Hung; Hong, Yun-Hua; Liu, Wei-Guan; Wu, Chung-Chih ; Wong, Ken-Tsung ; Chao, Teng-ChihApplied Physics Letters77
2442005Energy structures at the interfaces of organic semiconductorsWu, C.-I.; CHIH-I WU International Display Manufacturing Conference and Exhibition, IDMC'05
2452005Energy structures and chemical reactions at the Al/LiF/Al q3 interfaces studied by synchrotron-radiation photoemission spectroscopyWu, C.-I.; Lee, G.-R.; Pi, T.-W.; CHIH-I WU Applied Physics Letters6962
2462005Energy structures and chemical reactions at the Al/LiF/Alq3 interfaces studied by synchrotron-radiation photoemission spectroscopyWu, Chih-I. ; Lee, Guan-Ru; Pi, Tun-WenApplied Physics Letters 
2472005Mixed Host Organic Light Emitting Devices with Low Driving Voltage and Long LifetimeJIUN-HAW LEE ; CHIH-I WU ; Liu, Shun-Wei; Huang, Ching-An; Chang, YihApplied Physics Letters114
2482001Aluminum, magnesium, and gold contacts to contamination free n-GaN surfacesWu, C.I.; Kahn, A.; Wickenden, A.E.; Koleske, D.; Henry, R.L.; CHIH-I WU Journal of Applied Physics3632
2492001Multicolor heterostructure organic LEDs based on selectively doped hole-transport polymer layersWu, C.; Chang, H.; Yang, C.; Chen, C.; Lee, C.; CHIH-I WU ; CHUNG-CHIH WU Proceedings of SPIE - The International Society for Optical Engineering00
2502001AlN films on GaN: Sources of error in the photoemission measurement of electron affinityBermudez, V.M.; Wu, C.-I.; Kahn, A.; CHIH-I WU Journal of Applied Physics2218
2512000Negative electron affinity and electron emission at cesiated GaN and AlN surfacesWu, C.I.; Kahn, A.; CHIH-I WU Applied Surface Science8377
2521999Electronic states at aluminum nitride (0001 )-1 x 1 surfacesWu, C.I.; Kahn, A.; CHIH-I WU Applied Physics Letters
2531999Band alignment at organic-inorganic semiconductor interfaces: α-NPD and CuPc on InP(110)Chass\\'e; CHIH-I WU Journal of Applied Physics
2541999Negative electron affinity at the Cs/AIN(0001) surfaceWu, C.I.; Kahn, A.; CHIH-I WU Applied Physics Letters
2551999Electronic states and effective negative electron affinity at cesiated p-GaN surfacesWu, C.I.; Kahn, A.; CHIH-I WU Journal of Applied Physics
2561998GaN (0001)-(1×1) surfaces: Composition and electronic propertiesWu, C.I.; Kahn, A.; Taskar, N.; Dorman, D.; Gallagher, D.; CHIH-I WU Journal of Applied Physics
2571998Energy level offset at organic semiconductor heterojunctionsRajagopal, A.; Wu, C.I.; Kahn, A.; CHIH-I WU Journal of Applied Physics
2581998Electron affinity at aluminum nitride surfacesWu, C.I.; Kahn, A.; Hellman, E.S.; Buchanan, D.N.E.; CHIH-I WU Applied Physics Letters99100
2591998Investigation of the chemistry and electronic properties of metal/gallium nitride interfacesWu, C.I.; Kahn, A.; CHIH-I WU Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures
2601997Chemistry and electronic properties of metal contacts on an organic molecular semiconductorHirose, Y.; Wu, C.I.; Aristov, V.; Soukiassian, P.; Kahn, A.; CHIH-I WU Applied Surface Science
2611997Electron-hole interaction energy in the organic molecular semiconductor PTCDAWu, C.I.; Hirose, Y.; Sirringhaus, H.; Kahn, A.; CHIH-I WU Chemical Physics Letters
2621997Surface modification of indium tin oxide by plasma treatment: An effective method to improve the efficiency, brightness, and reliability of organic light emitting devicesWu, C.C.; Wu, C.I.; Sturm, J.C.; Kahn, A.; CHIH-I WU Applied Physics Letters