研究成果



第 1 到 103 筆結果,共 103 筆。

公開日期標題作者來源出版物scopusWOS全文
12023DNA Methylation Biomarkers as Prediction Tools for Therapeutic Response and Prognosis in Intermediate-Stage Hepatocellular CarcinomaYI-CHANG LU ; CHIH-YANG HSIAO ; Peng, Pey-Jey; Huang, Shao-Chang; Chuang, Meng-Rong; Su, Hung-Ju; KAI-WEN HUANG Cancers1
22022MSRCall: A multi-scale deep neural network to basecall Oxford Nanopore sequencesYeh, Yang Ming; YI-CHANG LU Bioinformatics22
32022Shadow Removal Through Learning-Based Region Matching and Mapping Function OptimizationHsieh, Shih Wei; Yang, Chih Hsiang; YI-CHANG LU Proceedings - IEEE International Conference on Multimedia and Expo10
42022Design of a Power Efficient Accelerator for Reconstructing Videos from Gaussian Mixture Model DataTseng, Ying Yu; Wu, Yan Lun; Lin, Yen Po; Yeh, Yang Ming; YI-CHANG LU IEEE Region 10 Annual International Conference, Proceedings/TENCON00
52022An Alignment-Based Hardware Accelerator for Rapid Prediction of RNA Secondary StructuresWeng, Shih Shiuan; Yeh, Yang Ming; Li, Yu Cheng; YI-CHANG LU Proceedings - IEEE International Symposium on Circuits and Systems00
62022A Nucleotide-Position-Based Data Format for Fast Variant Calling and Its Hardware Analyzer DesignLiu, Hao Wei; Shen, Zhe Wei; Yeh, Yang Ming; YI-CHANG LU BioCAS 2022 - IEEE Biomedical Circuits and Systems Conference: Intelligent Biomedical Systems for a Better Future, Proceedings00
72022A Multiframe Super-resolution Pipeline for Sub-image-typed Light Field DataHsu, Chien Han; Lin, Yi Hsien; Lin, Yen Po; YI-CHANG LU Proceedings of 2022 Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, APSIPA ASC 202200
82022Traceback Memory Reduction for Three-Sequence Alignment Algorithm with Affine Gap ModelsChien, Ruei Ting; Lin, Mao Jan; Yeh, Yang Ming; YI-CHANG LU Proceedings of 2022 Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, APSIPA ASC 202200
92022A Hardware Accelerator for Long Sequence Alignment with the Bit-Vector Scoring Scheme and Divide-and-Conquer TracebackChen, Chuan Yu; Huang, Shih Hao; YI-CHANG LU BioCAS 2022 - IEEE Biomedical Circuits and Systems Conference: Intelligent Biomedical Systems for a Better Future, Proceedings00
102022Low-Light Enhancement Using a Plug-and-Play Retinex Model With Shrinkage Mapping for Illumination EstimationLin, Yi Hsien; YI-CHANG LU IEEE Transactions on Image Processing1513
112022A Variation-Based Nighttime Image Dehazing Flow With a Physically Valid Illumination Estimator and a Luminance-Guided Coloring ModelYang C.-H; Lin Y.-H; YI-CHANG LU IEEE Access22
122022CF-NET: COMPLEMENTARY FUSION NETWORK FOR ROTATION INVARIANT POINT CLOUD COMPLETIONChen B.-F; Yeh Y.-M; YI-CHANG LU ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing - Proceedings10
132021Attention EdgeConv for 3D Point Cloud ClassificationLin Y.-P; Yeh Y.-M; Chou Y.-C; YI-CHANG LU 2021 Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, APSIPA ASC 2021 - Proceedings0
142021RGB-NIR Demosaicking Using a Two-Phase Primal-Dual Algorithm with a Laplacian Guided Image Filter PriorDuh W.-Y; Lin Y.-H; Lu Y.-C.; YI-CHANG LU 2021 IEEE International Conference on Consumer Electronics-Asia, ICCE-Asia 202100
152021Power Reduction of a Set-Associative Instruction Cache Using a Dynamic Early Tag LookupYu C.-C; Hu Y.H; Lu Y.-C; Chen C.C.-P.; YI-CHANG LU Proceedings -Design, Automation and Test in Europe, DATE00
1620213D-GFE: A Three-Dimensional Geometric-Feature Extractor for Point Cloud DataChou Y.-C; Lin Y.-P; Yeh Y.-M; YI-CHANG LU 2021 Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, APSIPA ASC 2021 - Proceedings0
172021A Real Time Video Stabilizer Based on Feature Trajectories and Global Mesh WarpingHuang S.-J; Lin Y.-H; Weng C.-H; YI-CHANG LU 2021 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2021 and 2021 IEEE Conference on Postgraduate Research in Microelectronics and Electronics, PRIMEASIA 202100
182021Using Regularity Unit As Guidance for Summarization-Based Image ResizingHsiao F.-T; Lin Y.-H; YI-CHANG LU 2021 International Conference on Visual Communications and Image Processing, VCIP 2021 - Proceedings00
192021A memory-efficient accelerator for DNA sequence alignment with two-piece affine gap tracebacksWu J.-P; Lin Y.-C; Wu Y.-W; Hsieh S.-W; Tai C.-H; Lu Y.-C.; YI-CHANG LU Proceedings - IEEE International Symposium on Circuits and Systems40
202020Design and Implementation of a Hand-held Lensless Light Field CameraHo C.-H; Lin Y.-H; Hu J.S.-I; Lu Y.-C.; YI-CHANG LU 2020 IEEE International Conference on Consumer Electronics - Asia, ICCE-Asia 202000
212020HDR Deghosting Using Motion-Registration-Free Fusion in the Luminance Gradient DomainLiou C.-Y; Chuang C.-Y; Huang C.-H; Lu Y.-C.; YI-CHANG LU 2020 IEEE International Conference on Visual Communications and Image Processing, VCIP 202000
222020Comprehensive Study of Keywords for Sequence-Based Automatic Annotation of Protein FunctionsLi Y.-C; Lin M.-J; Huang X.-X; CHIEN-YU CHEN ; YI-CHANG LU Proceedings - IEEE 20th International Conference on Bioinformatics and Bioengineering, BIBE 202000
232020Hardware Architecture and Implementation of Clustered Tensor Approximation for Multi-Dimensional Visual DataYang, C.-Y.; Yeh, Y.-M.; Lu, Y.-C.; YI-CHANG LU 2020 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 202000
242020GPU accelerated liquid association GALAWu, G.; Li, Y.-C.; Lu, Y.-C.; Li, K.-C.; Yuan, S.; YI-CHANG LU Statistics and its Interface00
252019A Depth-Assisted Deblurring Flow Using Dual Cameras with Different Exposure TimesLin, Y.-Y.; Lin, Y.-H.; Lin, M.-J.; Yeh, Y.-M.; Lu, Y.-C.; YI-CHANG LU 2019 IEEE International Conference on Consumer Electronics - Asia, ICCE-Asia 201900
262019An FPGA-Based Liquid Association Calculator for Genome-Wide Co-Expression AnalysisWang, C.-A.; Huang, S.-J.; Li, Y.-C.; Lu, Y.-C.; YI-CHANG LU International Conference on Digital Signal Processing, DSP00
272019Banded Pair-HMM Algorithm for DNA Variant Calling and Its Hardware Accelerator DesignChen, M.-H.; Lin, M.-J.; Li, Y.-C.; Lu, Y.-C.; YI-CHANG LU Proceedings - 2019 IEEE 19th International Conference on Bioinformatics and Bioengineering, BIBE 201910
282019A special-purpose processor for FFT-based digital refocusing using 4-D light field dataChen, M.-R.; Liu, H.-W.; Lin, Y.-H.; Lu, Y.-C.; YI-CHANG LU Proceedings - IEEE International Symposium on Circuits and Systems10
292019Compressing DNN Parameters for Model Loading Time ReductionYeh, Y.-M.; Hu, J.S.-I.; Lin, Y.-Y.; Lu, Y.-C.; YI-CHANG LU 2019 IEEE International Conference on Consumer Electronics - Asia, ICCE-Asia 201910
302019Colorization of high-frame-rate monochrome videos using synchronized low-frame-rate color dataChiang, C.-F.; Yeh, Y.-M.; Yang, C.-Y.; Lu, Y.-C.; YI-CHANG LU Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)00
312019Hardware accelerator design for dynamic-programming-based protein sequence alignment with affine gap tracebacksLin, M.-J.; Li, Y.-C.; Lu, Y.-C.; YI-CHANG LU BioCAS 2019 - Biomedical Circuits and Systems Conference, Proceedings30
322019EMI-Reduction Coding Based on 8b/10bChou, C.-C.; Weng, S.-S.; Lu, Y.-C.; TZONG-LIN WU ; YI-CHANG LU IEEE Transactions on Electromagnetic Compatibility11
332019BLASTP-ACC: Parallel Architecture and Hardware Accelerator Design for BLAST-based Protein Sequence AlignmentLi, Y.; Lu, Y.; YI-CHANG LU IEEE Transactions on Biomedical Circuits and Systems1513
342018Adaptively banded Smith-Waterman algorithm for long reads and its hardware acceleratorYi-Lun Liao; Yu-Cheng Li; Nae-Chyun Chen; Yi-Chang Lu; YI-CHANG LU IEEE International Conference on Application-specific Systems, Architectures and Processors170
352018Cost-effective and channel-scalable hardware decoders for multiple electron-beam direct-write systemsChun-Chang Yu; Pei-Chun Lin; Yi-Chang Lu; Charlie Chung-Ping Chen; YI-CHANG LU Journal of Micro/Nanolithography, MEMS, and MOEMS00
362018A hybrid flow for multiple sequence alignment with a BLASTn based pairwise alignment processorMao-Jan Lin; Chih-Yu Chang; Yu-Cheng Li; Nae-Chyun Chen; Yi-Chang Lu; YI-CHANG LU IEEE International Symposium on Circuits and Systems00
372018A memory-efficient FM-index constructor for next-generation sequencing applications on FPGAsNae-Chyun Chen; Yu-Cheng Li; Yi-Chang Lu; YI-CHANG LU IEEE International Symposium on Circuits and Systems00
382018Subpixel-level-accurate algorithm for removing double-layered reflections from a single imageShih-Wei Hsieh; Yao-Cheng Yang; Chi-Ming Yeh; Sheng-Jui Huang; Yi-Chang Lu; YI-CHANG LU IEEE International Conference on Image Processing10
392018Three-dimensional dynamic programming accelerator for multiple sequence alignmentRuei-Ting Chien; Yi-Lun Liao; Chien-An Wang; Yu-Cheng Li; Yi-Chang Lu; YI-CHANG LU IEEE Nordic Circuits and Systems Conference20
402018A high dynamic range light field camera and its built-in data processor designPo-Hsiang Hsu; Yang-Ming Yeh; Chi-Ming Yeh; Yi-Chang Lu; YI-CHANG LU IEEE International Symposium on Circuits and Systems30
412017Deep co-occurrence feature learning for visual object recognitionYa-Fang Shih; Yang-Ming Yeh; Yen-Yu Lin; Ming-Fang Weng; Yi-Chang Lu; Yung-Yu Chuang; YI-CHANG LU IEEE Conference on Computer Vision and Pattern Recognition340
422017EBG-Based Grid-Type PDN on Interposer for SSN Mitigation in Mixed-Signal System-in-PackageC. K. Shen; Y. C. Lu; Y. P. Chiou; H. H. Hsieh; M. H. Tsai; S. Liu; T. L. Wu; YI-CHANG LU IEEE Microwave and Wireless Components Letters65
432017An accurate and fast substrate noise prediction method with octagonal TSV model for 3-D ICsY.-A. Hsu; C.-H. Cheng; Y.-C. Lu; T.-L. Wu; YI-CHANG LU IEEE Transactions on Electromagnetic Compatibility54
442017EBG-based grid-type PDN on interposer for SSN mitigation in mixed-signal system-in-packageShen C.-K; Lu Y.-C; Chiou Y.-P; Hsieh H.-H; Tsai M.-H; Liu S; YI-CHANG LU ; YIH-PENG CHIOU IEEE Microwave and Wireless Components Letters65
452016An FPGA-based quality filter for de novo sequence assembly pipelineChun-Shen Liu; Nae-Chyun Chen; Yu-Cheng Li; Yi-Chang Lu; YI-CHANG LU IEEE Asia Pacific Conference on Circuits and Systems00
462016Non-photorealistic rendering from real video sequences with discontinuity reduction using fast video segmentationLu Xiao; Xiao-Xuan Huang; Yi-Chang Lu; YI-CHANG LU International SoC Design Conference00
472016Step Shift: a fast image segmentation algorithm and its hardware implementation for next-generation-sequencing fluorescence dataXiao-Xuan Huang; Chun-Hsien Ho; Yu-Cheng Li; Nae-Chyun Chen; Yi-Chang Lu; YI-CHANG LU IEEE Asia Pacific Conference on Circuits and Systems00
482016An iterative re-weighted least squares processor design for deblurring parabolic camera imagesYu-Hsiang Kao; Sheng-Jui Huang; Yi-Chang Lu; YI-CHANG LU IEEE Global Conference on Consumer Electronics00
492016An orthogonal matching pursuit processor for sparse-representation-based light field data compressionYang-Ming Yeh; Chi-Ming Yeh; Ying-Yu Tseng; Yi-Chang Lu; YI-CHANG LU IEEE Global Conference on Consumer Electronics00
502016Mask design for pinhole-array-based hand-held flight field cameras with applications in depth estimationChe-Wei Chang; Min-Hung Chen; Kuan-Chang Chen; Chi-Ming Yeh; Yi-Chang Lu; YI-CHANG LU Asia-Pacific Signal and Information Processing Association Annual Summit and Conference00
512016Opportunities of synergistically adjusting voltage-frequency levels of cores and DRAMs in CMPs with 3d-stacked DRAMs for efficient thermal controlYi-Jung Chen; Chia-Lin Yang; Ping-Sheng Lin; Yi-Chang Lu; YI-CHANG LU 
522016Queue-based segmentation algorithm for refining depth maps in light field camera applicationsYi-Hsiang Chen; Nae-Chyun Chen; Yu-Hsiang Kao; Yu-Cheng Li; Yi-Chang Lu; YI-CHANG LU IEEE Global Conference on Consumer Electronics10
532016A special processor design for nucleotide basic local alignment search tool with a new banded two-hit methodChih-Yu Chang; Yu-Cheng Li; Nae-Chyun Chen; Xiao-Xuan Huang; Yi-Chang Lu; YI-CHANG LU IEEE Nordic Circuits and Systems Conference20
542015Power efficient special processor design for Burrows-Wheeler-transform-based short read sequence alignmentNae-Chyun Chen; Tai-Yin Chiu; Yu-Cheng Li; Yu-Chun Chien; Yi-Chang Lu; YI-CHANG LU IEEE International Biomedical Circuits and Systems Conference20
552015Efficient layout data compression algorithm and its low-complexity, high-performance hardware decoder implementation for multiple electron-beam direct-write systemsChin-Khai Tang; Ming-Shing Su; Yi-Chang Lu; YI-CHANG LU J. of Micro/Nanolithography, MEMS, and MOEMS33
562015A prediction method of heat generation in the silicon substrate for 3-D ICsYi-An Hsu; Chi-Hsuan Cheng; Yi-Chang Lu; Tzong-Lin Wu; YI-CHANG LU IEEE Conference on Electrical Performance of Electronic Packaging and Systems00
572014An equation-based circuit model and its generation tool for 3-D IC power delivery networks with an emphasis on coupling effectChi-Hsuan Cheng; Tai-Yu Cheng; Cheng-Han Du; Yi-Chang Lu; Yih-Peng Chiou; Sally Liu; Tzong-Lin Wu; YI-CHANG LU IEEE Trans. Components, Packaging and Manufacturing Technology129
582014Testing of TSV-induced small delay faults for 3-D integrated circuitsChun-Yi Kuo; Chi-Jih Shih; Yi-Chang Lu; James C.-M. Li; Krishnendu Chakrabarty; YI-CHANG LU ; CHIEN-MO LI IEEE Trans. Very Large Scale Integration (VLSI) Systems1713
592014A pixel-based depth estimation algorithm and its hardware implementation for 4-D light field dataChang, C.-W.; Chen, M.-R.; Hsu, P.-H.; Lu, Y.-C.; YI-CHANG LU Proceedings - IEEE International Symposium on Circuits and Systems100
602014Depth estimation for hand-held light field cameras under low light conditionsChen, M.-H.; Chiang, C.-F.; Lu, Y.-C.; YI-CHANG LU 2014 International Conference on 3D Imaging, IC3D 2014 - Proceedings10
612013ABF-based TSV arrays with improved signal integrity on 3-D IC/interposers: equivalent models and experimentsC.-D. Wang; Y.-J. Chang; Y.-C. Lu; P.-S. Chen; W.-C. Lo; Y.-P. Chiou; T.-L. Wu; YI-CHANG LU ; TZONG-LIN WU ; YIH-PENG CHIOU IEEE Transactions on Components, Packaging and Manufacturing Technology2421
622013Architecture and circuit design of parallel processing elements for de novo sequence assemblyHuang, Y.-L.; Liu, C.-S.; Li, Y.-C.; Lu, Y.-C.; YI-CHANG LU International System on Chip Conference00
632013Exploring synergistic DVFS control of cores and DRAMs for thermal efficiency in CMPs with 3D-stacked DRAMs.Lin, Ping-Sheng; Chen, Yi-Jung; Yang, Chia-Lin; YI-CHANG LU ; CHIA-LIN YANG International Symposium on Low Power Electronics and Design (ISLPED), Beijing, China, September 4-6, 201320
642013Light field data processor design for depth estimation using confidence-assisted disparitiesFan Chiang, S.-C.; Hsu, P.-H.; Lu, Y.-C.; YI-CHANG LU International System on Chip Conference10
652013Analysis and implementation of Discrete Wavelet Transform for compressing four-dimensional light field dataKuo, C.-L.; Lin, Y.-Y.; Lu, Y.-C.; YI-CHANG LU International System on Chip Conference00
662013Thermal coupling aware task migration using neighboring core search for many-core systems.Mizunuma, Hitoshi; Lu, Yi-Chang; YI-CHANG LU ; CHIA-LIN YANG 2013 International Symposium on VLSI Design, Automation, and Test, VLSI-DAT 2013, Hsinchu, Taiwan, April 22-24, 201380
672013Parallel architecture and hardware implementation of pre-processor and post-processor for sequence assemblyKuo, Y.-H.; Liu, C.-S.; Li, Y.-C.; Lu, Y.-C.; YI-CHANG LU ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing - Proceedings10
682013Test generation of path delay faults induced by defects in power TSVShih, C.-J.; Hsieh, S.-A.; Lu, Y.-C.; Li, J.C.-M.; Wu, T.-L.; TZONG-LIN WU ; YI-CHANG LU ; CHIEN-MO LI Proceedings of the Asian Test Symposium20
692013LineDiff entropy: Lossless layout data compression scheme for maskless lithography systemsTang, C.-K.; Su, M.-S.; Lu, Y.-C.; YI-CHANG LU IEEE Signal Processing Letters54
702013A power-efficient asynchronous circuit style with selective input-channel restoringTang, C.-K.; Lu, Y.-C.; YI-CHANG LU Midwest Symposium on Circuits and Systems00
712013Power distribution network modeling for 3-D ICs with TSV arraysShen, C.-K.; Lu, Y.-C.; Chiou, Y.-P.; Cheng, T.-Y.; Wu, T.-L.; YI-CHANG LU ; TZONG-LIN WU ; YIH-PENG CHIOU Asia and South Pacific Design Automation Conference, ASP-DAC00
722012The effect of NBTI on 3D integrated circuitsCheng-Hong Lin; Yi-Chang Lu; Chin-Khai Tang; Kuen-Yu Tsai; YI-CHANG LU ; KUEN-YU TSAI Electrical Design of Advanced Packaging and Systems Symposium (EDAPS), 2012 IEEE20
732012Design considerations for radio frequency 3DICsY.-C. Tseng; C.-B. Chang; C.-K. Tang; C.-H. Cheng; Y.-C. Lu; K.-Y. Lin; T.-L. Wu; R.-B. Wu; YI-CHANG LU ; TZONG-LIN WU ; RUEY-BEEI WU ; KUN-YOU LIN IEEE Elect. Design Adv. Packag. Systems Symp.00
742012Novel crosstalk modeling for multiple through-silicon-vias (TSV) on 3-D IC: Experimental validation and application to Faraday cage designChang, Y.-J.; Chuang, H.-H.; Lu, Y.-C.; Chiou, Y.-P.; Wu, T.-L.; Chen, P.-S.; Wu, S.-H.; Kuo, T.-Y.; Zhan, C.-J.; Lo, W.-C.; YI-CHANG LU ; TZONG-LIN WU ; YIH-PENG CHIOU 2012 IEEE 21st Conference on Electrical Performance of Electronic Packaging and Systems, EPEPS 2012220
752012Low slow-wave effect and crosstalk for low-cost ABF-coated TSVs in 3-D IC interposerYI-CHANG LU ; TZONG-LIN WU ; YIH-PENG CHIOU Electronic Components and Technology Conference
762011Impact of process-effect correction strategies on variability of critical dimension and electrical characteristics in extreme ultraviolet lithographyNg, Philip C.W.; Chien, Sheng-Wei; Chang, Bo-Sen; Tsai, Kuen-Yu; Lu, Yi-Chang; Li, Jia-Han; Chen, Alek C.; YI-CHANG LU ; KUEN-YU TSAI ; Li, Jia-Han Japanese Journal of Applied Physics23
772011Thermal modeling and analysis for 3D-ICs with integrated microchannel coolingHitoshi Mizunuma; Yi-Chang Lu; Chia-Lin Yang; YI-CHANG LU IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems
782011Thermal modeling and analysis for 3-D ICs with integrated microchannel coolingMizunuma, H.; Lu, Y.-C.; Yang, C.-L.; YI-CHANG LU ; CHIA-LIN YANG IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 4646
792010Signal/Power integrity modeling of high-speed memory modules using chip-package-board co-analysisYI-CHANG LU ; TZONG-LIN WU ; HSIN-SHU CHEN ; RUEY-BEEI WU IEEE Transactions on Electromagnetic Compatibility24
802010A new method to improve accuracy of parasitics extraction considering sub-wavelength lithography effectsKuen-Yu Tsai; Wei-Jhih Hsieh; Yuan-Ching Lu; Bo-Sen Chang; Sheng-Wei Chien; Yi-Chang Lu; YI-CHANG LU ; KUEN-YU TSAI ASP-DAC 2010, The 15th Asia and South Pacific Design Automation Conference10
812010Thermal-aware router-sharing architecture for 3D network-on-chip designsHuang, Y.-R.; Pan, J.-H.; Lu, Y.-C.; YI-CHANG LU IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS60
822010Architecture for next generation massively parallel maskless lithography system (MPML2)Su, M.-S.; Tsai, K.-Y.; Lu, Y.-C.; Kuo, Y.-H.; Pei, T.-H.; Yen, J.-Y.; YI-CHANG LU ; KUEN-YU TSAI ; JIA-YUSH YEN Proceedings of SPIE - The International Society for Optical Engineering
832010Depth estimation of light field data from pinhole-masked DSLR camerasChen, C.-C.; Chiang, S.-C.F.; Huang, X.-X.; Su, M.-S.; Lu, Y.-C.; YI-CHANG LU Proceedings - International Conference on Image Processing, ICIP70
842010Light field based digital refocusing using a DSLR camera with a pinhole array maskChen, C.-C.; Lu, Y.-C.; Su, M.-S.; YI-CHANG LU ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing - Proceedings330
852009Thermal modeling and device noise properties of 3D-SOI technologyTze Wee Chen; Jung Hoon Chun; Yi-Chang Lu; Reza Navid; Wei Wang; Chang-Lee Chen; Robert W. Dutton; YI-CHANG LU IEEE Trans. Electron Devices10
862009Thermal modeling for 3D-ICs with integrated microchannel cooling.Mizunuma, Hitoshi; Yang, Chia-Lin; YI-CHANG LU ; CHIA-LIN YANG 2009 International Conference on Computer-Aided Design, ICCAD 2009, San Jose, CA, USA, November 2-5, 2009450
872009Thermal Modeling and Device Noise Properties of Three-Dimensional–SOI TechnologyChen, Tze Wee; Chun, Jung Hoon; Lu, Yi-chang ; Navid, R.; Wang, Wei; Chen, Chang-Lee; Dutton, R.W.IEEE Transactions on Electron Devices 
882009Thermal modeling and device oise properties of three-dimensional-SOI technologyChen, T.W.; Chun, J.-H.; Lu, Y.-C.; Navid, R.; Wang, W.; Chen, C.-L.; Dutton, R.W.; YI-CHANG LU IEEE Transactions on Electron Devices31
8920093D technology based circuit and architecture designYI-CHANG LU 2009 International Conference on Communications, Circuits and Systems, ICCCAS 2009
902008A new method to improve accuracy of leakage current estimation for transistors with non-rectangular gates due to sub-wavelength lithography effectsKuen-Yu Tsai; Meng-Fu You; Yi-Chang Lu; Philip C. W. Ng; YI-CHANG LU ; KUEN-YU TSAI ICCAD 2008, IEEE/ACM International Conference on Computer-Aided Design90
912008多媒體系統無線傳輸介面之研發-子計畫一:用於高速信號辨識與分類之系統晶片(1/3)盧奕璋 
922008晶片系統之信號與電源完整性問題盧奕璋 
932008Chip-package-board co-design - A DDR3 system design example from circuit designers' perspectiveLin, Y.-H.; Chou, J.; Lu, Y.-C.; Wu, T.-L.; YI-CHANG LU ; HSIN-SHU CHEN ; TZONG-LIN WU 2008 Electrical Design of Advanced Packaging and Systems Symposium, IEEE EDAPS 200890
942008An asynchronous circuit design with fast forwarding technique at advanced technology nodeTang, C.-K.; Lin, C.-Y.; Lu, Y.-C.; YI-CHANG LU Proceedings of the 9th International Symposium on Quality Electronic Design, ISQED 2008100
952007Impacts of optical proximity correction settings on electrical performancesMeng-Fu You; Philip C. W. Ng; Yi-Sheng Su; Kuen-Yu Tsai; Yi-Chang Lu; YI-CHANG LU ; KUEN-YU TSAI Advanced Lithography 2007 - Proc. SPIE60
962007A built-in technique for measuring substrate and power supply digital switching noise using PMOS-based differential sensors and a waveform sampler in system-on-chip applicationsIorga, C.; Lu, Yi-Chang ; Dutton, R.W.IEEE Transactions on Instrumentation and Measurement 
972007Performance benefits of monolithically stacked 3-D FPGALin, Mingjie; El Gamal, Abbas; YI-CHANG LU ; Wong, SimonIeee Transactions on Computer-Aided Design of Integrated Circuits and Systems11988
982007A built-in technique for measuring substrate and power-supply digital switching noise using PMOS-based differential sensors and a waveform sampler in system-on-chip applicationsIorga, C.; Lu, Y.-C.; Dutton, R.W.; YI-CHANG LU IEEE Transactions on Instrumentation and Measurement85
992006Performance benefits of monolithically stacked 3D-FPGALin, Mingjie; El Gamal, Abbas; Lu, Yi-Chang; Wong, Simon; YI-CHANG LU Internation symposium on Field programmable gate arrays - FPGA? 06660
1002005Modeling and simulation of jitter in phase-locked loops due to substrate noiseKim, J.W.; Lu, Y.-C.; Dutton, R.W.; YI-CHANG LU BMAS 2005 - Proceedings of the 2005 IEEE International Behavioral Modeling and Simulation Workshop70
1012004Modeling of wave behavior of substrate noise coupling for mixed-signal IC designVeronis, G.; Lu, Y.-C.; Dutton, R.W.; YI-CHANG LU Proceedings - 5th International Symposium on Quality Electronic Design, ISQUED 200490
1022001Min/max on-chip inductance models and delay metricsLu, Yi-Chang; Celik, Mustafa; Young, Tak; Pileggi, Lawrence T.; YI-CHANG LU 38th conference on Design automation260
1032001A fast analytical technique for estimating the bounds of on-chip clock wire inductanceLu, Y.-C.; Banerjee, K.; Celik, M.; Dutton, R.W.; YI-CHANG LU Proceedings of the Custom Integrated Circuits Conference150