第 1 到 261 筆結果,共 261 筆。
公開日期 | 標題 | 作者 | 來源出版物 | scopus | WOS | 全文 | |
---|---|---|---|---|---|---|---|
1 | 2023 | A Robust Super-Regenerative Receiver with Optimal Detection on BER Level | Su, Yi Pei; Huang, Chao Yen; SAO-JIE CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | 0 | 0 | |
2 | 2021 | An error resilient design platform for aggressively reducing power, area and routing congestion | Lin T.-L; SAO-JIE CHEN | Proceedings - International Symposium on Quality Electronic Design, ISQED | 0 | 0 | |
3 | 2021 | A 24-GHz Fully Integrated CMOS Transceiver for FMCW Radar Applications | Su Y.-P; Huang C.-Y; Chen S.-J.; SAO-JIE CHEN | IEEE Journal of Solid-State Circuits | 4 | 4 | |
4 | 2020 | DVFS Considering Spatial Correlation Timing and Process-Voltage-Temperature Variations | Lin T.-L; SAO-JIE CHEN | International System on Chip Conference | 0 | 0 | |
5 | 2020 | A Platform of Resynthesizing a Clock Architecture into Power-and-Area Effective Clock Trees | Lin, T.-L.; Chen, S.-J.; SAO-JIE CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 4 | 2 | |
6 | 2020 | Network-cognitive traffic control: A fluidity-aware on-chip communication | Tsai, W.-C.; SAO-JIE CHEN ; Hu, Y.-H.; Chiang, M.-L. | Electronics (Switzerland) | 2 | 2 | |
7 | 2019 | Application of BSS Algorithms for Breast Cancer Detection | Liu, S.-M.; Li, C.-Y.; Chen, S.-J.; SAO-JIE CHEN | International Conference on Digital Signal Processing, DSP | 0 | 0 | |
8 | 2019 | Acceleration of Variant Discovery Tool in GATK | Liu, S.-M.; Lin, Z.-Y.; Ju, J.-L.; Chen, S.-J.; SAO-JIE CHEN | International Conference on Digital Signal Processing, DSP | 1 | 0 | |
9 | 2016 | Design of a Power-Efficient ARM Processor with a Timing-Error Detection and Correction Mechanism | S. J. Chen; G. Liu; H. P. Yang; C. H. Luo; W. M. Hwu; SAO-JIE CHEN | IEEE International System-on-Chip Conference (SOCC) | 0 | 0 | |
10 | 2016 | A novel flow fluidity meter for BiNoC bandwidth resource allocation | Tsai, W.-C.; Lin, H.-E.; Lan, Y.-C.; Chen, S.-J.; Hu, Y.-H.; SAO-JIE CHEN | International System on Chip Conference | 2 | 0 | |
11 | 2016 | An improved distributed video coding with low-complexity motion estimation at encoder | Yang, H.-P.; Hsieh, H.-C.; Chang, S.-H.; Chen, S.-J.; SAO-JIE CHEN | International System on Chip Conference | 1 | 0 | |
12 | 2016 | Modeling and Simulation of Quantum-Well Infrared Photodetectors | S. J. Chen; H. P. Yang; D. J. Lin; G. Liu; SAO-JIE CHEN | IEEE International System-on-Chip Conference (SOCC) | |||
13 | 2015 | Hardware implementation of a real-time distributed video decoder | Yang, H.-P.; Ho, M.-H.; Hsieh, H.-C.; Cheng, P.-H.; Chen, S.-J.; SAO-JIE CHEN | International Conference on Digital Signal Processing, DSP | 1 | 0 | |
14 | 2015 | Variable code length soft-output decoder of polar codes | Po, J.-H.; Chen, S.-J.; Yu, C.; SAO-JIE CHEN | International Conference on Digital Signal Processing, DSP | 3 | 0 | |
15 | 2015 | Automatic wheezing detection based on signal processing of spectrogram and back-propagation neural network | Lin, B.-S.; Wu, H.-D.; Chen, S.-J.; SAO-JIE CHEN | Journal of Healthcare Engineering | |||
16 | 2015 | Temporal and spatial denoising of depth maps | Lin, B.-S.; Su, M.-J.; Cheng, P.-H.; Tseng, P.-J.; SAO-JIE CHEN | Sensors (Switzerland) | 15 | 13 | |
17 | 2015 | A BiNoC architecture-aware task allocation and communication scheduling scheme | Tsai, W.-C.; Chen, W.-D.; Lan, Y.-C.; Hu, Y.-H.; Chen, S.-J.; SAO-JIE CHEN | Microprocessors and Microsystems | 0 | 0 | |
18 | 2015 | A prefetching scheme for Automatic Repeat-reQuest fault-tolerant on-chip network | Tsai, W.-C.; Zheng, D.-Y.; Chen, S.-J.; Hu, Y.-H.; SAO-JIE CHEN | International Conference on Machine Learning and Cybernetics | 0 | 0 | |
19 | 2015 | An effective spatial-temporal denoising approach for depth images | Lin, B.-S.; Chou, W.-R.; Yu, C.; Cheng, P.-H.; Tseng, P.-J.; Chen, S.-J.; SAO-JIE CHEN | International Conference on Digital Signal Processing, DSP | 7 | 0 | |
20 | 2015 | Improved Fourier series expansion methods for electrocardiography analysis | CHIEH-HSIUNG KUAN ; SAO-JIE CHEN ; Lee, M.-L.; Nien, C.; Kuan, C.-H.; Chen, S.-J.; Chien, J.; CHIEH-HSIUNG KUAN ; SAO-JIE CHEN | International Conference on Digital Signal Processing | |||
21 | 2014 | Improvement on a block-serial fully-overlapped QC-LDPC decoder for IEEE 802.11n | Yu, C.; Chuang, H.-S.; Lin, B.-S.; Cheng, P.-H.; Chen, S.-J.; SAO-JIE CHEN | IEEE International Conference on Consumer Electronics | 8 | 0 | |
22 | 2014 | Unified security and safety risk assessment - A case study on nuclear power plant | Chen, Y.-R.; Chen, S.-J.; Hsiung, P.-A.; Chou, I.-H.; SAO-JIE CHEN | 1st International Conference on Trustworthy Systems and Their Applications, TSA 2014 | 14 | 0 | |
23 | 2014 | 3D bidirectional-channel routing algorithm for network-based many-core embedded systems | Tsai, W.-C.; Weng, Y.-Y.; Wei, C.-J.; Chen, S.-J.; Hu, Y.-H.; SAO-JIE CHEN | Lecture Notes in Electrical Engineering | 2 | 0 | |
24 | 2014 | Bi-routing: A 3d bidirectional-channel routing algorithm for network-based many-core embedded systems | Tsai, W.-C.; Weng, Y.-Y.; Wei, C.-J.; Chen, S.-J.; Hu, Y.-H.; SAO-JIE CHEN | Journal of Computers | |||
25 | 2014 | Accelerating coverage estimation through partial model checking | Chen, Y.-R.; Yeh, J.-J.; Hsiung, P.-A.; Chen, S.-J.; SAO-JIE CHEN | IEEE Transactions on Computers | 3 | 3 | |
26 | 2014 | Effect of surface Si redistribution on the alignment of Ge dots grown on pit-patterned Si(001) substrates | CHIEH-HSIUNG KUAN ; SAO-JIE CHEN ; Chen, H.-M.; Suen, Y.-W.; Chen, S.-J.; Luo, G.-L.; Lai, Y.-P.; Chen, S.-T.; Lee, C.-H.; CHIEH-HSIUNG KUAN ; SAO-JIE CHEN | Nanotechnology | |||
27 | 2013 | Novel time-multiplexing bidirectional on-chip network | Wei, C.-J.; Weng, Y.-Y.; Tsai, W.-C.; Chen, S.-J.; Hu, Y.-H.; SAO-JIE CHEN | International System on Chip Conference | 1 | 0 | |
28 | 2013 | A dual-code-rate memoryless Viterbi decoder for wireless communication systems | Yu, C.; Su, Y.-S.; Lin, B.-S.; Cheng, P.-H.; Chen, S.-J.; SAO-JIE CHEN | IEEE International Conference on Consumer Electronics | 3 | 0 | |
29 | 2013 | Backward probing deadlock detection for networks-on-chip | Chen, Y.-R.; Wangt, Z.-R.; Hsiunqt, P.-A.; Chen, S.-J.; Tsai, M.-H.; SAO-JIE CHEN | 2013 7th IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2013 | 1 | 0 | |
30 | 2013 | Non-minimal, turn-model based NoC routing | Tsai, W.-C.; Chu, K.-C.; Hu, Y.-H.; Chen, S.-J.; SAO-JIE CHEN | Microprocessors and Microsystems | 7 | 5 | |
31 | 2013 | Cycle-efficient lfsr implementation on word-based microarchitecture | Lin, J.-C.; Chen, S.-J.; Hu, Y.H.; SAO-JIE CHEN | IEEE Transactions on Computers | 4 | 2 | |
32 | 2013 | A unified link-layer fault-tolerant architecture for network-based many-core embedded systems | Tsai, W.-C.; Zheng, D.-Y.; Hu, Y.-H.; Chen, S.-J.; SAO-JIE CHEN | Journal of Systems Architecture | 1 | 1 | |
33 | 2013 | Optimal fixed-point fast fourier transform | Wei, C.-J.; Liu, S.-M.; Chen, S.-J.; Hu, Y.-H.; SAO-JIE CHEN | IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation | |||
34 | 2013 | Design of a (255, 239) Reed-Solomon decoder using a simplified step-by-step algorithm | Su, Y.-S.; Yu, C.; Lin, B.-S.; Cheng, P.-H.; Chen, S.-J.; SAO-JIE CHEN | International Symposium on Consumer Electronics, ISCE | 2 | 0 | |
35 | 2013 | A seamless ubiquitous telehealthcare tunnel. | Cheng, P.H.; Lin, B.S.; Yu, C.; Hu, S.H.; SAO-JIE CHEN | International journal of environmental research and public health | 4 | 3 | |
36 | 2012 | Networks-on-chip: Architectures, design methodologies, and case studies | AN-YEU(ANDY) WU ; SAO-JIE CHEN ; Chen, S.-J.; Wu, A.-Y.A.; Xu, J.; AN-YEU(ANDY) WU ; SAO-JIE CHEN | Journal of Electrical and Computer Engineering | |||
37 | 2012 | Optimal bit-allocation for wavelet-based scalable video coding | Peng, G.-J.; Hwang, W.-L.; SAO-JIE CHEN | IEEE International Conference on Multimedia and Expo | 2 | 0 | |
38 | 2012 | Design and implementation of a low-power OFDM receiver for wireless communications | Yu, C.; Sung, C.-H.; Kuo, C.-H.; Yen, M.-H.; Chen, S.-J.; SAO-JIE CHEN | IEEE Transactions on Consumer Electronics | 8 | 4 | |
39 | 2012 | Design and implementation of block-based partitioning for parallel flip-chip power-grid analysis | Wei; C.-J.; Chen; H.; Chen; S.-J.; SAO-JIE CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 6 | 5 | |
40 | 2012 | Design of a low-power OFDM baseband receiver for wireless communications | Yu, C.; Kuo, C.-H.; Sung, C.-H.; Yen, M.-H.; Chen, S.-J.; SAO-JIE CHEN | IEEE International Conference on Consumer Electronics | 0 | 0 | |
41 | 2012 | A ubiquitous scheme for a one-to-many switching tunnel for healthcare utilization | Cheng, P.-H.; Hu, S.-H.; Lin, Y.-P.; Hsieh, H.-C.; Lin, B.-S.; Yu, C.; Chen, S.-J.; SAO-JIE CHEN | 2012 4th International Conference on Computational Intelligence, Communication Systems and Networks, CICSyN 2012 | 0 | 0 | |
42 | 2012 | Networks on Chips: Structure and design methodologies | Tsai, W.-C.; Lan, Y.-C.; Hu, Y.-H.; Chen, S.-J.; SAO-JIE CHEN | Journal of Electrical and Computer Engineering | 60 | 0 | |
43 | 2012 | A seamless wireless network switching tunnel for ubiquitous healthcare environment | Hu, S.-H.; Cheng, P.-H.; Wu, R.-H.; Lin, Y.-P.; Hsieh, H.-C.; Lin, B.-S.; Yu, C.; Chen, S.-J.; SAO-JIE CHEN | 1st IEEE Global Conference on Consumer Electronics 2012, GCCE 2012 | 0 | 0 | |
44 | 2012 | Parallelization of spectrum sensing algorithms using graphic processing units | Lee, C.-H.; Chang, C.-J.; Chen, S.-J.; SAO-JIE CHEN | CSQRWC 2012 - 2012 Cross Strait Quad-Regional Radio Science and Wireless Technology Conference | 4 | 0 | |
45 | 2012 | Interlayer bit allocation for scalable video coding | Peng, G.-J.; Hwang, W.-L.; Chen, S.-J.; SAO-JIE CHEN | IEEE Transactions on Image Processing | 6 | 6 | |
46 | 2012 | Cycle-efficient lineary feedback shift register implementation on word-based micro-architecture | Lin, J.-C.; Chen, S.-J.; Hu, Y.H.; SAO-JIE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | 0 | 0 | |
47 | 2012 | AND-OR tree-based mode selection for video coding | Peng, G.-J.; Hwang, W.-L.; Chen, S.-J.; SAO-JIE CHEN | Signal, Image and Video Processing | 1 | 1 | |
48 | 2012 | Congestion-aware scheduling for NoC-based reconfigurable systems | Chao, H.-L.; Chen, Y.-R.; Tung, S.-Y.; Hsiung, P.-A.; Chen, S.-J.; SAO-JIE CHEN | Design, Automation and Test in Europe, DATE | |||
49 | 2012 | A memoryless Viterbi decoder for LTE systems | Yu, C.; Su, Y.-S.; Lin, B.-S.; Cheng, P.-H.; Chen, S.-J.; SAO-JIE CHEN | 1st IEEE Global Conference on Consumer Electronics 2012, GCCE 2012 | 5 | 0 | |
50 | 2012 | Reconfigurable networks-on-chip | Chen, S.-J.; Lan, Y.-C.; Tsai, W.-C.; Hu, Y.-H.; SAO-JIE CHEN | Reconfigurable Networks-on-Chip | 11 | 0 | |
51 | 2012 | A scalable and fault-tolerant network routing scheme for many-core and multi-chip systems | Tsai, W.-C.; Chu, K.-C.; Hu, Y.-H.; Chen, S.-J.; SAO-JIE CHEN | Journal of Parallel and Distributed Computing | 4 | 2 | |
52 | 2011 | A three-sided rearrangeable switching network for a binary fat tree | Yen, M.-H.; Yu, C.; Shin, H.-Y.; Chen, S.-J.; SAO-JIE CHEN | International Journal of Electronics | 1 | 1 | |
53 | 2011 | A low-power 64-point pipeline FFT/IFFT processor for OFDM applications | Yu, C.; Yen, M.-H.; Hsiung, P.-A.; Chen, S.-J.; SAO-JIE CHEN | IEEE Transactions on Consumer Electronics | 59 | 0 | |
54 | 2011 | A novel low-power 64-point pipelined FFT/IFFT processor for OFDM applications | Yu, C.; Liao, Y.-T.; Yen, M.-H.; Hsiung, P.-A.; Chen, S.-J.; SAO-JIE CHEN | IEEE International Conference on Consumer Electronics | 9 | 0 | |
55 | 2011 | Message from general chairs | Marshall, A.; Chen, S.-J.; SAO-JIE CHEN | International System on Chip Conference | 0 | 0 | |
56 | 2011 | Mobile nursing cart with software defined radio for healthcare enterprises | Hsu, C.-H.; Hsieh, S.-H.; Hsu, J.-T.; Cheng, P.-H.; Chen, S.-J.; SAO-JIE CHEN ; FEI-PEI LAI | IEEE Region 10 Annual International Conference, Proceedings/TENCON | 2 | 0 | |
57 | 2011 | Low power Gm-boosted differential Colpitts VCO | Su, Y.-P.; Hu, W.-Y.; Lin, J.-W.; Chen, Y.-C.; Sezer, S.; SAO-JIE CHEN | International System on Chip Conference | 9 | 0 | |
58 | 2011 | A tiling-scheme Viterbi decoder in Software Defined Radio for GPUs | Lin, C.-S.; Liu, W.-L.; Yeh, W.-T.; Chang, L.-W.; Hwu, W.-M.W.; Chen, S.-J.; Hsiung, P.-A.; SAO-JIE CHEN | 7th International Conference on Wireless Communications, Networking and Mobile Computing, WiCOM 2011 | 17 | 0 | |
59 | 2011 | A bidirectional NoC (BiNoC) architecture with dynamic self-reconfigurable channel | Lan, Y.-C.; Lin, H.-A.; Lo, S.-H.; Hu, Y.H.; Chen, S.-J.; SAO-JIE CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 38 | 26 | |
60 | 2011 | Rate-allocation for spatially scalable video coding | Peng, G.-J.; Hwang, W.-L.; Chen, S.-J.; SAO-JIE CHEN | ISPA 2011 - 7th International Symposium on Image and Signal Processing and Analysis | |||
61 | 2011 | A fault-tolerant NoC scheme using bidirectional channel | Tsai, W.-C.; Zheng, D.-Y.; Chen, S.-J.; Hu, Y.-H.; SAO-JIE CHEN | Design Automation Conference | |||
62 | 2010 | QoS aware BiNoC architecture | Lo, S.-H.; Lan, Y.-C.; Yeh, H.-H.; Tsai, W.-C.; Hu, Y.-H.; Chen, S.-J.; SAO-JIE CHEN | 2010 IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2010 | 15 | 0 | |
63 | 2010 | A 0.18-μm CMOS RF transceiver with self-detection and calibration functions for bluetooth V2.1 + EDR applications | Hu, W.-Y.; Lin, J.-W.; Tien, K.-C.; Hsieh, Y.-H.; Chen, C.-L.; Tso, H.-T.; Shih, Y.-S.; Hu, S.-C.; Chen, S.-J.; SAO-JIE CHEN | IEEE Transactions on Microwave Theory and Techniques | 11 | 8 | |
64 | 2010 | DyML: Dynamic Multi-Level flow control for Networks on Chip | Tsai, W.-C.; Lan, Y.-C.; Chen, S.-J.; Hu, Y.-H.; SAO-JIE CHEN | IEEE International SOC Conference, SOCC 2010 | 1 | 0 | |
65 | 2010 | Formal modeling and verification for Network-on-chip | Chen, Y.-R.; Su, W.-T.; Hsiung, P.-A.; Lan, Y.-C.; Hu, Y.-H.; Chen, S.-J.; SAO-JIE CHEN | 1st International Conference on Green Circuits and Systems, ICGCS 2010 | 18 | 0 | |
66 | 2010 | TM-FAR: Turn-model based fully adaptive routing for networks on chip | Tsai, W.-C.; Chu, K.-C.; Chen, S.-J.; Hu, Y.-H.; SAO-JIE CHEN | 18th IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC 2010 | 8 | 0 | |
67 | 2010 | Web 2.0 teacher community in a national health e-learning network | Su, M.-J.; Lin, J.-W.; Chen, Y.-T.; Lin, Y.-J.; Su, Y.-H.; SAO-JIE CHEN ; Chen, H.-S. | International Journal of E-Health and Medical Communications | 2 | 0 | |
68 | 2010 | Improving end-to-end communication performance by controlling behavior of intermediate network node | Ku, C.-F.; Chen, S.-J.; Ho, J.-M.; SAO-JIE CHEN | International Journal of Innovative Computing, Information and Control | |||
69 | 2010 | Optimal multiple-bit huffman decoding | Wen, Y.-N.; Lin, G.-H.; Chen, S.-J.; Hu, Y.-H.; SAO-JIE CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 0 | 0 | |
70 | 2010 | Perfect shuffling for cycle efficient puncturer and interleaver for software defined radio | Lin, J.-C.; Hsieh, M.; Fan-Chiang, M.-J.; Mao, S.-Y.; Yu, C.; Chen, S.-J.; Hu, Y.H.; SAO-JIE CHEN | ISCAS 2010 - 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems | 5 | 0 | |
71 | 2010 | Cycle efficient scrambler implementation for software defined radio | Lin, J.-C.; Fan-Chiang, M.-J.; Heieh, M.; Mao, S.-Y.; Chen, S.-J.; Hu, Y.H.; SAO-JIE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | 4 | 0 | |
72 | 2010 | An automatic optical simulation-based lithography hotspot fix flow for post-route optimization | Tong, Y.-S.; Chen, S.-J.; SAO-JIE CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 3 | 3 | |
73 | 2010 | A Phylogenomic Perspective on the New Era of Ichthyology | Chen, Wei-Jen; Mayden, Richard L.; WEI-JEN CHEN ; Chen, Sao-Jie ; Lai, Jin-Shin | Bioscience | 26 | 26 | |
74 | 2010 | Design of a low power Viterbi decoder for wireless communication applications | Chen, C.-J.; Yu, C.; Yen, M.-H.; Hsiung, P.-A.; Chen, S.-J.; SAO-JIE CHEN | International Symposium on Consumer Electronics, ISCE | 9 | 0 | |
75 | 2010 | ARAL-CR: An adaptive reasoning and learning cognitive radio platform | Chen, S.-J.; Hsiung, P.-A.; Yu, C.; Yen, M.-H.; Sezer, S.; Schulte, M.; Hu, Y.-H.; SAO-JIE CHEN | International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, IC-SAMOS 2010 | 3 | 0 | |
76 | 2009 | An RF transceiver with auto signal detection and combined PGA/RSSI in 0.18μ m CMOS for V2.1 bluetooth applications | Hu, W.-Y.; Lin, J.-W.; Tien, K.-C.; Hsieh, Y.-H.; Chen, C.-L.; Tso, H.-T.; Shih, Y.-S.; Hu, S.-C.; Chen, S.-J.; SAO-JIE CHEN | IEEE Radio Frequency Integrated Circuits Symposium | 3 | 0 | |
77 | 2009 | An instruction set architecture independent design method for embedded OFDM-based software defined transmitter | Lin; J.-C.; Hsieh; M.; Fan-Chiang; M.-J.; Chu-Yu; Chen; S.-J.; Hu; Y.H.; SAO-JIE CHEN | IEEE International SOC Conference, SOCC 2009 | 2 | 0 | |
78 | 2009 | A 900 MHz to 5.2 GHz dual-loop feedback multi-band LNA | Lin, J.-W.; Yen, D.-T.; Hu, W.-Y.; Chu, Y.; Yen, M.-H.; Hsiung, P.-A.; Chen, S.-J.; SAO-JIE CHEN | IEEE International Symposium on Circuits and Systems | 2 | 0 | |
79 | 2009 | BiNoC: A bidirectional NoC architecture with dynamic self-reconfigurable channel | Lan, Y.-C.; Lo, S.-H.; Lin, Y.-C.; Hu, Y.-H.; Chen, S.-J.; SAO-JIE CHEN | 3rd ACM/IEEE International Symposium on Networks-on-Chip, NoCS 2009 | 55 | 0 | |
80 | 2009 | An automatic optical-simulation-based lithography hotspot fix flow for post-route optimization | Tong, Y.-S.; Lin, C.-W.; Chen, S.-J.; SAO-JIE CHEN | International Symposium on Physical Design | 2 | 0 | |
81 | 2009 | USVoD: A large scale video-on-demand system based on uniform sampling cache mechanism | Ku, C.-F.; Zheng, Y.-F.; Chen, S.-J.; Ho, J.-M.; SAO-JIE CHEN | Journal of Information Science and Engineering | |||
82 | 2009 | Evolution and integration of medical laboratory information system in an Asia national medical center | Cheng, Po-Hsun; Chen, Sao-Jie; Lai, Jin-Shin; Cheng, Po-Hsun; Chen, Sao-Jie; Lai, Jin-Shin; JIN-SHIN LAI ; SAO-JIE CHEN ; 鄭伯壎 | IEICE Transactions on Communications | 2 | 1 | |
83 | 2009 | Parallel implementation of convolution encoder for software defined radio on DSP architecture | Lin, J.-C.; Yu, C.; Yen, M.-H.; Hsiung, P.-A.; Chen, S.-J.; Hu, Y.H.; SAO-JIE CHEN | 2009 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, IC-SAMOS 2009 | 6 | 0 | |
84 | 2009 | Simdcode generation for multimedia applications | Lin, G.-H.; Wen, Y.-N.; Wu, X.-L.; Chen, S.-J.; Su, A.P.; SAO-JIE CHEN | International Journal of Electrical Engineering | |||
85 | 2009 | Pilot study on a community-based ubiquitous healthcare system for current and retired university employees | Su, M.-J.; Zhang, H.-W.; Lin, Y.-J.; Su, Y.-H.; Chen, S.-J.; Chen, H.-S.; SAO-JIE CHEN | 2009 IEEE International Conference on Communications Workshops, ICC 2009 | 6 | 0 | |
86 | 2009 | Performance-energy tradeoffs in reliable NoCs | Lan, Y.-C.; Chen, M.C.; Chen, W.-D.; Chen, S.-J.; Hu, Y.-H.; SAO-JIE CHEN | 10th International Symposium on Quality Electronic Design, ISQED 2009 | 5 | 0 | |
87 | 2009 | Digital art and quality living for elderly people and terminal cancer patients | Su, Y.-H.; Su, M.-J.; Chen, Y.-T.; Zhang, H.-W.; Chen, R.; Lai, S.; Lin, Y.-S.; Chen, S.-J.; Chen, H.-S.; SAO-JIE CHEN | 1st International Conference on Wireless Communication, Vehicular Technology, Information Theory and Aerospace and Electronic Systems Technology, Wireless VITAE 2009 | 1 | 0 | |
88 | 2009 | Hardware software co-design of a multimedia SOC platform | Hu, Yu-Hen; Hsiung, Pao-Ann; Lin, Guang-Huei; Chen, Sao-Jie | 0 | 0 | ||
89 | 2009 | An agile and low cost FPGA implementation of MPEG-2 TS remultiplexer for CATV head-end equipment | Chen, Y.-P.; Chien, T.-N.; Cheng, P.-H.; Chen, S.-J.; SAO-JIE CHEN | I-SPAN 2009 - The 10th International Symposium on Pervasive Systems, Algorithms, and Networks | 4 | 0 | |
90 | 2009 | Using XML for VLSI physical design automation | Shyu, F.-M.; Cheng, P.-H.; Chen, S.-J.; SAO-JIE CHEN | Lecture Notes in Computer Science | 0 | 0 | |
91 | 2009 | Design of a high-speed bloc k interleaving/deinterleaving architecture for wireless communication applications | Yu, C.; Yen, M.-H.; Hsiung, P.-A.; Chen, S.-J.; SAO-JIE CHEN | IEEE International Conference on Consumer Electronics | 5 | 0 | |
92 | 2008 | Fluidity concept for NoC: A congestion avoidance and relief routing scheme | Lan, Y.-C.; Chen, M.C.; Su, A.P.; Hu, Y.-H.; Chen, S.-J.; SAO-JIE CHEN | 2008 IEEE International SOC Conference, SOCC | 9 | 0 | |
93 | 2008 | Application of tele-ultrasound in emergency medical services | Su, M.-J.; MATTHEW HUEI-MING MA ; PATRICK CHOW-IN KO ; WEN-CHU CHIANG ; CHIH-WEI YANG ; SAO-JIE CHEN ; Chen, R. | Telemedicine and e-Health | 36 | 30 | |
94 | 2008 | Flow maximization for NoC routing algorithms | Lan, Y.-C.; Chen, M.C.; Su, A.P.; Hu, Y.-H.; Chen, S.-J.; SAO-JIE CHEN | IEEE Computer Society Annual Symposium on VLSI: Trends in VLSI Technology and Design, ISVLSI 2008 | 3 | 0 | |
95 | 2007 | Design of a SIMD multimedia SoC platform | Lin, G.-H.; Wen, Y.-N.; Wu, X.-L.; Chen, S.-J.; Hu, Y.-H.; SAO-JIE CHEN | 20th Anniversary IEEE International SOC Conference | 3 | 0 | |
96 | 2007 | Symbolic verification and error prediction methodology | Wei, C.-J.; Lin, G.-H.; Wen, Y.-N.; Chen, S.-J.; Hu, Y.-H.; SAO-JIE CHEN | 20th Anniversary IEEE International SOC Conference | 0 | 0 | |
97 | 2007 | A study of ubiquitous monitor with RFID in an elderly nursing home | Lin, Y.-J.; Su, M.-J.; Chen, S.-J.; Wang, S.-C.; Lin, C.-I.; Chen, H.-S.; SAO-JIE CHEN | 2007 International Conference on Multimedia and Ubiquitous Engineering, MUE 2007 | 26 | 0 | |
98 | 2007 | Optimal multiple-bit huffman decoding | Wen, Y.-N.; Chen, S.-J.; Hu, Y.-H.; SAO-JIE CHEN | 2006 IEEE International Systems-on-Chip Conference, SOC | 1 | 0 | |
99 | 2007 | A conceptual persistent healthcare quality improvement process for software development management. | Lin, J.C.; Su, M.J.; Cheng, P.H.; Weng, Y.C.; Chen, S.J.; Lai, J.S.; Lai, F.; SAO-JIE CHEN | Annual International Conference of the IEEE Engineering in Medicine and Biology Society. IEEE Engineering in Medicine and Biology Society Conference | |||
100 | 2007 | Modeling and automatic failure analysis of safety-critical systems using extended safecharts | Chen, Y.-R.; Hsiung, P.-A.; Chen, S.-J.; SAO-JIE CHEN | Lecture Notes in Computer Science | |||
101 | 2006 | Wheeze recognition based on 2D bilateral filtering of spectrogram | Lin, Bor Shing; Lin, Bor Shyh; HUEY-DONG WU ; Chong, Fok Ching; SAO-JIE CHEN | Biomedical Engineering - Applications, Basis and Communications | 40 | 35 | |
102 | 2006 | IQ CALIBRATION TECHNIQUES FOR CMOS RADIO TRANSCEIVERS | Chen, Sao-Jie ; Hsieh, Yong-Hsiang | ||||
103 | 2006 | Edge detection on the Bayer pattern | Chen, C.-H.; Chen, S.-J.; Hsiao, P.-Y.; SAO-JIE CHEN | IEEE Asia-Pacific Conference on Circuits and Systems, APCCAS | 3 | 0 | |
104 | 2006 | Application of a wireless network in a medical emergency service network | Su, M.-J.; Chen, H.-S.; Lin, G.-S.; Shyu, F.-M.; Cheng, P.-H.; Shih, C.-L.; Lin, J.-W.; Su, S.; Chen, S.-J.; SAO-JIE CHEN | Journal on Information Technology in Healthcare | |||
105 | 2006 | Memory access optimization of motion estimation algorithms on a native SIMD PLX processor | Lin, G.-H.; Chen, S.-J.; Lee, R.B.; Hu, Y.-H.; SAO-JIE CHEN | IEEE Asia-Pacific Conference on Circuits and Systems, APCCAS | 3 | 0 | |
106 | 2006 | Context-based model for mobile electronic medical records | Shyu, F.-M.; Cheng, P.-H.; Su, M.-J.; Luh, J.-J.; Chen, H.-S.; Chen, S.-J.; SAO-JIE CHEN | 8th International Conference on e-Health Networking, Applications and Services | |||
107 | 2006 | A parameterizable digital-approximated 2D Gaussion smoothing filter for edge detection in noisy image | Hsiao, P.-Y.; Chen, C.-H.; Chou, S.-S.; Li, L.-T.; Chen, S.-J.; SAO-JIE CHEN | IEEE International Symposium on Circuits and Systems | |||
108 | 2006 | A healthcare pattern collection for rural telemedicine services | Cheng, P.-H.; Shyu, M.-F.; Chen, S.-J.; Lai, F.; Luh, J.-J.; Chen, H.-S.; Lai, J.-S.; SAO-JIE CHEN | 8th International Conference on e-Health Networking, Applications and Services | |||
109 | 2006 | Multiple-symbol parallel CAVLC decoder for H.264/AVC | Wen, Y.-N.; Wu, G.-L.; Chen, S.-J.; Hu, Y.-H.; SAO-JIE CHEN | IEEE Asia-Pacific Conference on Circuits and Systems, APCCAS | 27 | 0 | |
110 | 2006 | Multilevel routing with jumper insertion for antenna avoidance | Ho, T.-Y.; YAO-WEN CHANG ; SAO-JIE CHEN | Integration, the VLSI Journal | 5 | 2 | |
111 | 2006 | Mobile hospital: Healthcare for anybody at any time and anywhere | Chen, H.-S.; Su, M.-J.; Shyu, F.-M.; JER-JUNN LUH ; Hwang, S.-L.; Su, S.; Chen, S.-J.; JIN-SHIN LAI ; SAO-JIE CHEN | Journal on Information Technology in Healthcare | |||
112 | 2006 | A healthcare pattern collection for rural telemedicine services | Cheng P.-H.; Shyu M.-F.; SAO-JIE CHEN ; Lai F.; JER-JUNN LUH ; Chen H.-S.; JIN-SHIN LAI | HEALTHCOM 2006: Mobile E-Health for Developing Countries - 2006 8th International Conference on e-Health Networking, Applications and Services | |||
113 | 2006 | An enhanced BSA for floorplanning | Fang, J.P.; Tong, Y.-S.; SAO-JIE CHEN | IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences | 7 | 7 | |
114 | 2006 | 可調式晶片系統軟硬體開發平台之研製總計畫 | 陳少傑 ; 熊博安; 李宗演; 程仲勝; 游竹; 嚴茂旭 | 工程科技通訊 | |||
115 | 2006 | Mobile health information system integrated with VoIP technology in a wireless hospital | Chen, H.-S.; Luh, J.-J.; Chen, C.-L.; Cheng, P.-H.; Chen, S.-J.; Lai, F.-P.; SAO-JIE CHEN | HEALTHCOM 2006: Mobile E-Health for Developing Countries - 2006 8th International Conference on e-Health Networking, Applications and Services | |||
116 | 2006 | Real-time realisation of noise-immune gradient-based edge detector | Hsiao, P.-Y.; Chen, C.-H.; Wen, H.; SAO-JIE CHEN | IEE Proceedings: Computers and Digital Techniques | 13 | 7 | |
117 | 2005 | 可調式晶片系統軟硬體開發平台之研製-總計畫(II) | 陳少傑 | ||||
118 | 2005 | 邊緣偵測及細線化之可參數化影像處理IP 產生器設計與製作 | 陳少傑 | ||||
119 | 2005 | 晶片系統架構技術及開發平台研究之推動(3/3)-附屬計畫一 適用於H.264/MPEG-4 AVC 的嵌入式即時多媒體系統之整合設計 | 陳少傑 | ||||
120 | 2005 | Crosstalk- and Performance-Driven Multilevel Full-Chip Routing | Ho, Tsung-Yi; Chang, Yao-Wen ; Chen, Sao-Jie ; Lee, Der-Tsai | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | |||
121 | 2005 | E-security: Medication compliance & ADR prevention | Fang, J.P.; Chen, H.S.; Chen, S.J.; Lai, J.S.; JIN-SHIN LAI ; SAO-JIE CHEN | 7th International Workshop on Enterprise Networking and Computing in Healthcare Industry, HEALTHCOM 2005 | 0 | 0 | |
122 | 2005 | Real-time implementation of noise-immune gradient-based edge detection | Hsiao, P.-Y.; Wen, H.; Chen, Y.-P.; Chen, S.-J.; SAO-JIE CHEN | ISSCS 2005: International Symposium on Signals, Circuits and Systems | 4 | 0 | |
123 | 2005 | A collaborative knowledge management process for implementing healthcare enterprise information systems | Cheng, P.H.; Yang, T.H.; Yang, C.H.; Lin, G.H.; FEI-PEI LAI ; Chen, C.L.; Lee, H.H.; YEALI SUN ; JIN-SHIN LAI ; SAO-JIE CHEN | IEEE International Engineering Management Conference | 1 | 0 | |
124 | 2005 | An FPGA architecture design of parameter-adaptive real-time image processing system for edge detection | Hsiao, P.-Y.; Li, L.-T.; Chen, C.-H.; Chen, S.-W.; Chen, S.-J.; SAO-JIE CHEN | Emerging Information Technology Conference 2005 | 12 | 0 | |
125 | 2005 | Application of wireless network in a medical emergency service network | Su, M.-J.; Chen, H.-S.; Lin, G.-S.; Shyua, F.-M.; Su, S.; Cheng, P.-H.; Shih, C.-L.; Lin, J.-W.; Chen, S.-J.; SAO-JIE CHEN | 7th International Workshop on Enterprise Networking and Computing in Healthcare Industry, HEALTHCOM 2005 | |||
126 | 2005 | Codesign of a healthcare enterprise information portal and hospital information systems | Cheng, P.H.; Yang, T.H.; Chen, H.S.; Hsu, K.P.; Chen, S.J.; Lai, J.S.; JIN-SHIN LAI ; SAO-JIE CHEN | 7th International Workshop on Enterprise Networking and Computing in Healthcare Industry, HEALTHCOM 2005 | 6 | 0 | |
127 | 2005 | Multilevel full-chip routing for the X-based architecture | Ho, Tsung-Yi; Chang, Chen-Feng; Chang, Yao-Wen ; Chen, Sao-Jie | Design Automation Conference | |||
128 | 2005 | Improving end-to-end performance by active queue management | Ku, C.-F.; Chen, S.-J.; Ho, J.-M.; Chang, R.-I.; RAY-I CHANG ; SAO-JIE CHEN | International Conference on Advanced Information Networking and Applications, AINA | |||
129 | 2005 | Multilevel full-chip routing for the X-based architecture. | SAO-JIE CHEN ; Chang, Chen-Feng; YAO-WEN CHANG ; Ho T.-Y | Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005 | 39 | 0 | |
130 | 2005 | Crosstalk- and performance-driven multilevel full-chip routing | Ho, T.-Y.; Chang, Y.-W.; Chen, S.-J.; Lee, D.-T.; YAO-WEN CHANG ; SAO-JIE CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 48 | 33 | |
131 | 2005 | An Auto-I/Q Calibrated CMOS Transceiver for 802.11g | Hsieh, Yong-Hsiang; Hu, Wei-Yi; Lin, Shin-Ming; Chen, Chao-Liang; Li, Wen-Kai; SAO-JIE CHEN ; Chen, D.J. | IEEE Journal of Solid-State Circuits | 34 | ||
132 | 2004 | 晶片系統架構技術及開發平台研究之推動(2/3) 期中報告 | 陳少傑 | ||||
133 | 2004 | Multilevel routing with antenna avoidance | Ho, T.-Y.; Chang, Y.-W.; Chen, S.-J.; SAO-JIE CHEN | International Symposium on Physical Design | |||
134 | 2004 | Application of HL7 in a collaborative healthcare information system | Cheng, P.H.; Yang, C.H.; Chen, H.S.; Chen, S.J.; Lai, J.S.; SAO-JIE CHEN | Annual International Conference of the IEEE Engineering in Medicine and Biology | |||
135 | 2004 | Multilevel routing with jumper insertion for antenna avoidance | Ho, Tsung-Yi; Chang, Yao-Wen ; Chen, Sao-Jie | IEEE International SOC Conference | 0 | 0 | |
136 | 2004 | Multilevel routing with antenna avoidance. | Ho, Tsung-Yi; SAO-JIE CHEN ; YAO-WEN CHANG | Proceedings of the International Symposium on Physical Design | 36 | 0 | |
137 | 2004 | A 6.25mm2 2.4GHz CMOS 802.11b transceiver | Hsieh, Y.-H.; Hu, W.-Y.; Lin, S.-M.; Chang, J.; Chen, C.-L.; Li, W.-K.; Lo, C.-Y.; Chen, S.-J.; SAO-JIE CHEN | 2004 IEEE Asia-Pacific Conference on Advanced System Integrated Circuits | |||
138 | 2004 | 數位相機晶片系統平台之研製─子計畫五:晶片系統平台之實現:藍芽無線傳輸 | 陳少傑 | 工程科技通訊 | |||
139 | 2004 | Fast Postplacement Optimization Using Functional Symmetries | Chang, C.-W.; Hsiao, M.-F.; Hu, B.; Wang, K.; Marek-Sadowska, M.; Cheng, C.-K.; SAO-JIE CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 13 | 2 | |
140 | 2004 | A project interface buffering model for application engineer management | Cheng, P.H.; Chien, T.N.; Chien, C.H.; Chen, S.J.; Lai, J.S.; SAO-JIE CHEN | IEEE International Engineering Management Conference | |||
141 | 2004 | 電子病歷交換標準之探討 | 鄭伯壎 ; 賴金鑫 ; 陳少傑 | 臺灣醫學 | |||
142 | 2004 | Software platform for embedded software development | See, W.-B.; Hsiung, P.-A.; Lee, T.-Y.; Chen, S.-J.; SAO-JIE CHEN | Lecture Notes in Computer Science | |||
143 | 2004 | Simultaneous routing and buffering in SOC floorplan design | Fang, J.P.; Tong, Y.-S.; SAO-JIE CHEN | IEE Proceedings: Computers and Digital Techniques | 5 | 5 | |
144 | 2003 | 數位相機晶片系統平台之研製─總計畫 數位相機晶片系統平台之研製 | 陳少傑 | ||||
145 | 2003 | 晶片系統架構技術及開發平台研究之推動(1/3) | 陳少傑 | ||||
146 | 2003 | Minimizing coupling jitter by buffer resizing for coupled clock networks | Hsiao, Ming-Fu; Malgorzata, Marek-Sadowska; Chen, Sao-Jie | IEEE International Symposium on Circuits and Systems | 0 | 0 | |
147 | 2003 | A crosstalk aware two-pin net router | Hsiao, M.-F.; Marek-Sadowska, M.; Chen, S.-J.; SAO-JIE CHEN | IEEE International Symposium on Circuits and Systems | |||
148 | 2003 | Tile-graph-based power planning | Fang, Jyh-Perng; Chen, Sao-Jie | IEEE International Symposium on Circuits and Systems | 0 | 0 | |
149 | 2003 | An efficient multi-level partitioning algorithm for VLSI circuits | Cherng, J.-S.; Chen, S.-J.; SAO-JIE CHEN | IEEE International Conference on VLSI Design | 5 | 0 | |
150 | 2003 | A fast crosstalk- and performance-driven multilevel routing system | Ho, Tsung-Yi; Chang, Yao-Wen ; Chen, Sao-Jie ; Lee, D.T. | IEEE/ACM International Conference on Computer-Aided Design | 0 | 0 | |
151 | 2003 | Tile-based power planning during floorplanning | Fang, J.P.; SAO-JIE CHEN | IEEE International SOC Conference, SOCC 2003 | 0 | 0 | |
152 | 2003 | Minimizing inter-clock coupling jitter | Hsiao, M.-F.; Marek-Sadowska, M.; SAO-JIE CHEN | International Symposium on Quality Electronic Design, ISQED | 2 | 0 | |
153 | 2003 | Framework approach for system on chip software development | See, W.-B.; Hsiung, P.-A.; Chen, S.-J.; SAO-JIE CHEN | International Symposium on VLSI Technology, Systems, and Applications | 2 | 0 | |
154 | 2003 | Simultaneous routing and buffering in floorplan design | Fang, J.P.; Tong, Y.-S.; SAO-JIE CHEN | International Symposium on VLSI Technology, Systems, and Applications | 1 | 0 | |
155 | 2002 | 系統晶片架構技術及設計開發環境 | 陳少傑 | ||||
156 | 2002 | 百萬閘單晶片系統之設計方法論─總計畫 百萬閘單晶片系統之設計方法論 | 陳少傑 | ||||
157 | 2002 | 百萬閘單晶片系統之設計方法論─子計畫五 單晶片系統之相輔設計 | 陳少傑 | ||||
158 | 2002 | 百萬閘單晶片系統之設計方法論─子計畫一 單晶片系統之接線分析與平面規劃之研究 | 陳少傑 | ||||
159 | 2002 | Printed circuit board routing and package layout codesign | Chen, S.-S.; Tseng, W.-D.; Yan, J.-T.; Chen, S.-J.; SAO-JIE CHEN | IEEE Asia-Pacific Conference on Circuits and Systems | 9 | 0 | |
160 | 2002 | TCN: Scalable hierarchical hypercubes | Lee, T.-Y.; Hsiung, P.-A.; Chen, S.-J.; SAO-JIE CHEN | International Conference on Parallel and Distributed Systems - ICPADS | 1 | 0 | |
161 | 2002 | IETQ: An incrementally extensible twisted cube | Chang, J.-S.; Chen, S.-J.; Chiueh, T.-D.; SAO-JIE CHEN | IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences | |||
162 | 2002 | Crosstalk minimization for multiple clock tree routing | Hsiao, M.-F.; Marek-Sadowska, M.; Chen, S.-J.; SAO-JIE CHEN | Midwest Symposium on Circuits and Systems | |||
163 | 2002 | VERTAF: An object-oriented application framework for embedded real-time systems | Hsiung, P.-A.; Lee, T.-Y.; See, W.-B.; Fu, J.-M.; Chen, S.-J.; SAO-JIE CHEN | 5th IEEE International Symposium on Object-Oriented Real-Time Distributed Computing, ISORC 2002 | 5 | 0 | |
164 | 2001 | 硬體-軟體同步合成方法論 | 陳少傑 | ||||
165 | 2001 | Hardware-software multi-level partitioning for distributed embedded multiprocessor systems | Lee, T.-Y.; Hsiung, P.-A.; Chen, S.-J.; SAO-JIE CHEN | IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences | |||
166 | 2001 | Symmetric and programmable multi-chip module for low-power prototyping system | Yen, M.-H.; Chen, S.-J.; Lan, S.H.; SAO-JIE CHEN | VLSI Design | |||
167 | 2001 | A wire segment reassignment algorithm for minimizing crosstalk for strait-type river routing | Cherng, J.-S.; Chen, S.-J.; SAO-JIE CHEN | IEEE International Conference on Electronics, Circuits, and Systems | |||
168 | 2001 | DESC: A hardware-software codesign methodology for distributed embedded systems | Lee, T.-Y.; Hsiung, P.-A.; Chen, S.-J.; SAO-JIE CHEN | IEICE Transactions on Information and Systems | |||
169 | 2001 | A three-stage one-sided rearrangeable polygonal switching network | Yen, M.-H.; Chen, S.-J.; Lan, S.H.; SAO-JIE CHEN | IEEE Transactions on Computers | 13 | 0 | |
170 | 2001 | A distributed and object-oriented framework for VLSI physical design automation | Shyu, Fong-Ming; Chen, Sao-Jie | IEEE International Symposium on Circuits and Systems | 3 | 0 | |
171 | 2001 | Formal verification of embedded real-time software in component-based application frameworks | Hsiung, P.-A.; See, W.-B.; Lee, T.-Y.; Fu, J.-M.; Chen, S.-J.; SAO-JIE CHEN | Asia-Pacific Software Engineering Conference and International Computer Science Conference, APSEC and ICSC | |||
172 | 2000 | 射頻電路板與封裝繞線之研究 | 陳少傑 | ||||
173 | 2000 | Automatic router for the pin grid array package | Chen, S.-S.; Chen, J.-J.; Tsai, C.-C.; SAO-JIE CHEN | IEE Proceedings: Computers and Digital Techniques | 8 | 3 | |
174 | 2000 | Efficient routability check algorithms for segmented channel routing | Yang, C.-H.; Chen, S.-J.; Ho, J.-M.; Tsai, C.-C.; SAO-JIE CHEN | ACM Transactions on Design Automation of Electronic Systems | |||
175 | 2000 | Hard ware-software timing co-verification of distributed embedded systems | Jih-Ming, F.U.; Lee Trong-Yen; Hsiung, P.-A.; SAO-JIE CHEN | IEICE Transactions on Information and Systems | 10 | ||
176 | 2000 | Tutorial on VLSI partitioning | Chen, S.-J.; Cheng, C.-K.; SAO-JIE CHEN | VLSI Design | |||
177 | 2000 | Bubble Sort Approach to Channel Routing | Chen, S.-S.; Yang, C.-H.; SAO-JIE CHEN | IEE Proceedings-Computers and Digital Techniques | 4 | 1 | |
178 | 1999 | An even wiring approach to the ball grid array package routing | Chen, Shuenn-Shi; Chen, Jong-Jang; Tsai, Chia-Chun; Chen, Sao-Jie | International Conference on Computer Design, 1999. (ICCD '99) | 0 | 0 | |
179 | 1999 | An improved pyramid algorithm for synthesizing 2-D discrete wavelet transforms | Yu, Chu; Chen, Sao-Jie | 1999 IEEE Workshop on Signal Processing Systems, SIPS 99 | 0 | 0 | |
180 | 1999 | 應用接腳陣列繞線技術於MCM (III) | 陳少傑 | ||||
181 | 1999 | 計畫名稱 : 硬體-軟體同步設計方法論 (I) | 陳少傑 | ||||
182 | 1999 | An automatic router for the pin grid array package | Chen, Shuenn-Shi; Chen, Jong-Jang; Chen, Sao-Jie ; Tsai, Chia-Chun | Asia and South Pacific Design Automation Conference, 1999. ASP-DAC '99 | 0 | 0 | |
183 | 1999 | Efficient VLSI architecture for 2-D inverse discrete wavelet transforms | Yu, Chu; Chen, Sao-Jie | IEEE International Symposium on Circuits and Systems | 0 | 0 | |
184 | 1999 | Improved Pyramid algorithm for synthesizing 2-D discrete wavelet transforms | Yu, Chu; Chen, Sao-Jie; SAO-JIE CHEN | IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation | |||
185 | 1999 | Even wiring approach to the ball grid array package routing | Chen, Shuenn-Shi; Chen, Jong-Jang; Tsai, Chia-Chun; Chen, Sao-Jie; SAO-JIE CHEN | IEEE International Conference on Computer Design: VLSI in Computers and Processors | |||
186 | 1999 | Transporting H.320 video conference traffic to the internet | Ku, Chin-Fu; Ko, Hung-Yu; Lin, Jeng-Wei; Pan, Yu-Chun; Ho, Jan-Ming; Chen, Meng-Chang; Ko, Ming-Tat; Chen, Sao-Jie; SAO-JIE CHEN | Proceedings of SPIE - The International Society for Optical Engineering | |||
187 | 1999 | Symmetric and programmable multi-chip module for rapid prototyping system | Yen, Mao-Hsu; Chen, Sao-Jie; Lan, Sanko H.; SAO-JIE CHEN | IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation | |||
188 | 1999 | Design of an efficient VLSI architecture for 2-D discrete wavelet transforms | Yu, C.; Chen, S.-J.; SAO-JIE CHEN | IEEE Transactions on Consumer Electronics | 14 | 12 | |
189 | 1999 | A New Approach to the Ball Grid Array Package Routing | Chen, S.-S.; Chen, J.-J.; Lee, T.-Y.; Tsai, C.-C.; Chen, S.-J.; SAO-JIE CHEN | IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences | |||
190 | 1998 | 應用接腳陣列繞線技術於MCM (II) | 陳少傑 | ||||
191 | 1998 | ICOS: An intelligent concurrent objectoriented synthesis methodology for multiprocessor systems | Hsiung, P.-A.; Chen, C.-H.; Lee, T.-Y.; SAO-JIE CHEN | ACM Transactions on Design Automation of Electronic Systems | 8 | 0 | |
192 | 1998 | 饋線開關遙控系統的設計 | 陳少傑 | ||||
193 | 1998 | NEWS: A net-even-wiring system for the routing on a multilayer pga package | Tsai, C.-C.; Wang, C.-M.; Chen, S.-J.; SAO-JIE CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 25 | 23 | |
194 | 1997 | VLSI implementation of 2-D discrete wavelet transform for real-time video signal processing | Yu, C.; Chen, S.-J.; SAO-JIE CHEN | IEEE Transactions on Consumer Electronics | 35 | 26 | |
195 | 1997 | Design and implementation of a highly efficient VLSI architecture for discrete wavelet transform | Yu, Chu; Hsieh, Chien-An; Chen, Sao-Jie | Custom Integrated Circuits Conference | 0 | 0 | |
196 | 1997 | 子計畫六:應用接腳陣列繞線技術於MCM | 陳少傑 | ||||
197 | 1997 | Hmap: A fast mapper for EPGAs using extended GBDD hash tables | Yang, C.-H.; Tsai, C.-C.; Ho, J.M.; Chen, S.-J.; SAO-JIE CHEN | ACM Transactions on Design Automation of Electronic Systems | |||
198 | 1997 | MOBnet: An extended petri net model for the concurrent object-oriented system-level synthesis of multiprocessor systems | Hsiung, P.-A.; Lee, T.-Y.; SAO-JIE CHEN | IEICE Transactions on Information and Systems | 10 | ||
199 | 1996 | A stable partitioning algorithm for VLSI circuits | Cherng, Jong-Sheng; Chen, Sao-Jie | Custom Integrated Circuits Conference, 1996. | 0 | 0 | |
200 | 1996 | PSM: An object-oriented synthesis approach to multiprocessor system design | Hsiung, P.-A.; Chen, S.-J.; Hu, T.-C.; Wang, S.-C.; SAO-JIE CHEN | IEEE Transactions on Very Large Scale Integration (VLSI) Systems | 16 | 14 | |
201 | 1996 | 多晶片模組電性分析、設計及測試研究─多晶片模組電性分析設計及測試研究-子計畫五:多晶片模組包裝繞線器之製作 | 陳少傑 | ||||
202 | 1996 | Analysis of multiprocessor task scheduling | Linn, J.-F.; Chen, S.-J.; SAO-JIE CHEN | Computer Systems Science and Engineering | |||
203 | 1996 | Planar routing in a pin grid array package | Tsai, Chia-Chun; Chen, Sao-Jie; SAO-JIE CHEN | Journal of the Chinese Institute of Electrical Engineering, Transactions of the Chinese Institute of Engineers, Series E/Chung KuoTien Chi Kung Chieng Hsueh K'an | |||
204 | 1996 | FPGA技術映射和繞線方法之研究 | 陳少傑 | ||||
205 | 1996 | Stable partitioning algorithm for VLSI circuits | Cherng, Jong-Sheng; Chen, Sao-Jie; SAO-JIE CHEN | Custom Integrated Circuits Conference | |||
206 | 1995 | One-phase technology mapping for EPGAs using extended GBDD hash tables | Yang, Cheng-Hsing; Chen, Sao-Jie ; Ho, Jan-Ming; Tsai, Chia-Chun | 1995 International Sympsium on VLSI Technology, Systems and Applications | 0 | 0 | |
207 | 1995 | 效能驅動MCM佈局系統之研製 | 陳少傑 | ||||
208 | 1995 | Performance bounds on scheduling parallel tasks with communication cost | Lin, Jiann-Fu; See, Win-Bin; Chen, Sao-Jie; SAO-JIE CHEN | IEICE Transactions on Information and Systems | |||
209 | 1995 | An efficient approach for via minimization in multi-layer VLSI/PCB routing | Cherng, Jong-Sheng; Chen, Sao-Jie ; Tsai, Chia-Chun; Ho, Jan-Ming | Custom Integrated Circuits Conference, 1995. | 0 | 0 | |
210 | 1995 | Efficient approach for via minimization in multi-layer VLSI/PCB routing | Cherng, Jong-Sheng; Chen, Sao-Jie; Tsai, Chia-Chun; Ho, Jan-Ming; SAO-JIE CHEN | Custom Integrated Circuits Conference | |||
211 | 1994 | Reflexive Object-Oriented Software Engineering | Hsu, F. M.; See, W. B.; Fuh, R. M.; 陳少傑 ; Chen, Sao-Jie | Fourth Workshop on Object-Oriented Technology | |||
212 | 1994 | A Routing System for the PGA Package | Wang, C. M.; Tsai, C. C.; 陳少傑 ; Chen, Sao-Jie | 5th VLSI Design/CAD Symposium | |||
213 | 1994 | An Efficient Algorithm for Layer Assignment in Multi-Layer VLSI/PCB Routing | Cherng, J. S.; 陳少傑 ; Ho, J. M.; Chen, Sao-Jie | 5th VLSI Design/CAD Symposium | |||
214 | 1994 | 多晶片模組設計自動化與測試系統總計畫(II) | 陳少傑 | ||||
215 | 1994 | Row-Based and Symmetrical Field Programmable Gate Arrays Routing | Chen, J. Y.; 陳少傑 ; Ho, J. M.; Chen, Sao-Jie | 5th VLSI Design/CAD Symposium | |||
216 | 1994 | 具有效能驅動能力的MCM系統分割及配置 | 陳少傑 | ||||
217 | 1994 | Object-Oriented Synthesis Application Tool | Hsiung, P. A.; 陳少傑 ; Chen, Sao-Jie | 1994 4th Wrokshop on Object-Oriented Technology | |||
218 | 1994 | ─多晶片模組設計自動化與測試系統-子計畫五: 具有效能驅動能力的MCM系統分割及配置 | 陳少傑 | ||||
219 | 1994 | Scheduling Parallel Tasks With Setup Time on Hypercube Systems | Lin, J. F.; See, W. B.; 陳少傑 ; Chen, Sao-Jie | International Computer Symposium | |||
220 | 1994 | Scheduling algorithm for nonpreemptive multiprocessor tasks | Lin, J.-F.; SAO-JIE CHEN | Computers and Mathematics with Applications | 13 | 10 | |
221 | 1994 | General area router based on planning techniques | SAO-JIE CHEN ; Tsai, C.-C.; Chen, Y.-L.; Hu, Y.-H. | IEE Proceedings: Computers and Digital Techniques | 0 | 0 | |
222 | 1994 | Analysis of scheduling parallel tasks on hypercube systems | Lin, J.-F.; Chen, S.-J.; SAO-JIE CHEN | Electronics Letters | 0 | 0 | |
223 | 1994 | Linear time algorithm for planar moat routing | Tsai; Chia-Chun; Chen; Sao-Jie; SAO-JIE CHEN | Journal of Information Science and Engineering | |||
224 | 1994 | Scheduling parallel tasks on hypercubes | Lin, J.-F.; SAO-JIE CHEN | Electronics Letters | 0 | 0 | |
225 | 1994 | 高效能計算機系統的模擬環境設計與製作(II) | 陳少傑 | ||||
226 | 1993 | An Algorithm for Routing a PGA Package | Tsai, C. C.; Wang, C. M.; 陳少傑 ; Chen, Sao-Jie | 4th Symposium on VLSI Design/CAD | |||
227 | 1993 | An Efficient Algorithm for VLSI Partitioning | Hwang, M. S.; 陳少傑 ; Chen, Sao-Jie | 1993 4th Symposium on VLSI Design/CAD | |||
228 | 1993 | An Efficient Algorithm for Over-the-Cell Channel Routing | Chang-Fan, C.; Fang, S. C.; Cherng, J. S.; 陳少傑 ; Chen, Sao-Jie | 1993 International Symposium on VLSI Technology, Systems and Applications | |||
229 | 1993 | Planar Routing on a Pin Grid Array Package | Tsai, C. C.; 陳少傑 ; Chen, Sao-Jie | 1993 Third International Conference on CAD & CG | |||
230 | 1993 | 一套整合配置與繞線工具的MCM佈局系統(I) | 陳少傑 | ||||
231 | 1993 | An efficient over-the-cell channel router | Cherng, Jong-Sheng; Chen, Sao-Jie ; Changfan, Chreh; Hu, Yu-Hen | 1993 European Conference on Design Automation | 0 | 0 | |
232 | 1993 | An efficient signal redistribution algorithm for MCM | Shiao, Ming-Fu; Changfan, Chieh; Chen, Sao-Jie ; Tsai, Chai-Chun | 1993 Custom Integrated Curcuits Conference | 0 | 0 | |
233 | 1993 | Efficient signal redistribution algorithm for MCM | Shiao, Ming-Fu; Changfan, Chieh; Chen, Sao-Jie; Tsai, Chia-Chun; SAO-JIE CHEN | Custom Integrated Circuits Conference | |||
234 | 1993 | 多晶片模組設計自動化與測試系統(II) | 陳少傑 | ||||
235 | 1992 | An H-V Alternating Router | Tsai, C.-C.; Chen, S.-J.; Feng, W.-S.; Tsai, C.-C.; SAO-JIE CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 17 | 11 | |
236 | 1992 | Planning Strategies for Area Routing | Tsai, C. C.; 陳少傑 ; Chen, Y. L.; Hu, Y. H.; Chen, Sao-Jie | 1992 European Conference on Design Automation | |||
237 | 1992 | Planar Moat Routing | Tsai, C. C.; 陳少傑 ; Chen, Sao-Jie | 3rd Workshop on CAD for VLSI | |||
238 | 1991 | An Alternative Extra Stage Structure to Increase the Reliability of MIN | Chen, C. H.; 陳少傑 ; Chen, Sao-Jie | 1991 National Computer Symposium | |||
239 | 1991 | GEAR: a general area router using planning approach | Chen, Yuh-Lin; SAO-JIE CHEN ; Tsai, Chia-Chun; Hu, Yu-Hen | International Symposium on VLSI Technology, Systems, and Applications, Proceedings | 0 | 0 | |
240 | 1991 | Novel graph-based algorithms for reconfigurable arrays | Fang, Sung-Chum; Chen, Sao-Jie ; Lee, S.L. | IEEE International Symposium on Circuits and Systems | 0 | 0 | |
241 | 1991 | Hybrid routing on multichip modules | Tsai, Chia-Chun; Chen, Sao-Jie ; Hsiao, Pei-Yung; Feng, Wu-Shiung | Custom Integrated Circuits Conference | 0 | 0 | |
242 | 1991 | 〞平行策畫〞, 積體電路實體設計問題之解決方案 (台大VLSI-CAD子計畫之二) | 陳少傑 | ||||
243 | 1991 | New iterative construction approach to routing with compacted area | Tsai, C.-C.; Chen, S.-J.; Hsiao, P.-Y.; Feng, W.-S.; SAO-JIE CHEN | IEE Proceedings E: Computers and Digital Techniques | |||
244 | 1991 | Constrained Via Minimization with Practical Considerations for Multi-Layer VLSI/PCB Routing Problems | Fang, S. C.; Chang, K. E.; 馮武雄; 陳少傑 ; Feng, Wu-Shiung; Chen, Sao-Jie | Design Automation Conference | |||
245 | 1991 | Routing Area Compaction Based On Iterative Construction | Tsai, C.-C.; Chen, S.-J.; Hsiao, P.-Y.; Feng, W.-S.; SAO-JIE CHEN | Journal of the Chinese Institute of Engineers, Transactions of the Chinese Institute of Engineers,Series A/Chung-kuo Kung Ch'eng Hsuch K'an | 0 | 0 | |
246 | 1991 | Hybrid Routing on Multi-Chip Modules | Tsai, C. C.; 陳少傑 ; Hsiao, P. Y.; 馮武雄; Chen, Sao-Jie ; Feng, Wu-Shiung | 1991 Custom Integrated Circuits Conference | |||
247 | 1991 | 智慧型超大型積體電路設計自動化系統(四) | 陳良基 ; 陳少傑 | ||||
248 | 1990 | A New Strategy for Reconfigurable Arrays Using Free-Most Method | Fang, S. C.; 陳少傑 ; Lee, S. L.; Liou, J. C.; Chen, Sao-Jie | 1990 Interntational Elextron Devices and Materials Symposium | |||
249 | 1990 | An Alternating Router for Compacted Routing Area | Tsai, C. C.; 陳少傑 ; 馮武雄; Chen, Sao-Jie ; Feng, Wu-Shiung | 1990 2nd Workshop on CAD for VLSI | |||
250 | 1990 | A New Method for Two-Dimensional VLSI Layout Compaction Design | Chen, H. F.; Hsiao, P, Y.; 馮武雄; 陳少傑 ; Feng, Wu-Shiung; Chen, Sao-Jie | 1990 2nd Workshop on CAD for VLSI | |||
251 | 1990 | Routing Techniques in Staircase Channels | Fang, S. C.; 陳少傑 ; 馮武雄; Chen, Sao-Jie ; Feng, Wu-Shiung | 1990 2nd Workshop on CAD for VLSI | |||
252 | 1990 | GM-Learn: an iterative learning algorithm for CMOS gate matrix layout | Chen, Sao-Jie ; Hu, Yu Hen | IEE Proceedings E: Computers and Digital Techniques | |||
253 | 1990 | GM_Plan: A Gate Matrix Layout Algorithm Based on Artificial Intelligence Planning Techniques | Hu, Y.H.; Chen, S.-J.; SAO-JIE CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 17 | 15 | |
254 | 1990 | Generalized terminal connectivity problem for multilayer layout scheme | Tsai, C.-C.; SAO-JIE CHEN ; Feng, W.-S. | Computer-Aided Design | 1 | 0 | |
255 | 1989 | An H-V Tile-Expansion Router | Tsai, C. C.; 陳少傑 ; 馮武雄; Chen, Sao-Jie ; Feng, Wu-Shiung | National Computer Symposium | |||
256 | 1989 | An Improved Control Strategy for Expert Layout Compaction Design | Hsiao, P. Y.; Chen, H. F.; 馮武雄; 陳少傑 ; Tsai, C. C.; Feng, Wu-Shiung; Chen, Sao-Jie | 1989 International Symposium on Expert Systems Theory & Applications | |||
257 | 1989 | GM Plan: A gate matrix layout algorithm based on artificial intelligence planning techniques | SAO-JIE CHEN | IEEE International Symposium on Circuits and Systems | |||
258 | 1989 | GM-Learn:an Iterative Learning Algorithm for CMOS Gate Matrix Layout | 陳少傑 ; Hu, Y. H.; Chen, Sao-Jie | 1989 International Symposium on Circuits and Systems | |||
259 | 1989 | Generalized Terminal Connectivity Problem for Multi-Layer Layout Scheme | Tsai, C. C.; 馮武雄; 陳少傑 ; Hsiao, P, Y.; Chen, H. F.; Feng, Wu-Shiung; Chen, Sao-Jie | 1989 Joint Technical Conference on Circuits/Systems, Computers and Communications | |||
260 | 1989 | GM_Learn: an iterative learning algorithm for CMOS gate matrix layout | Chen, Sao-Jie ; Hu, Yu Hen | IEEE International Symposium on Circuits and Systems | 0 | 0 | |
261 | 1987 | 建構模塊之平行模擬退火配置系統 | 陳少傑 |