第 1 到 518 筆結果,共 518 筆。
公開日期 | 標題 | 作者 | 來源出版物 | scopus | WOS | 全文 | |
---|---|---|---|---|---|---|---|
1 | 2021 | Online training refinement network and architecture design for stereo matching | Wu Y.-S; Wu S.-S; Huang T; LIANG-GEE CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | 0 | 0 | |
2 | 2021 | CMWMF: Constant Memory Architecture of Weighted Mode/Median Filter for Extremely Large Label Depth Refinement | Wu S.-S; Chen L.-G.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 0 | 0 | |
3 | 2021 | A computational efficient architecture for extremely sparse stereo network | Huang T; Wu S.-S; Klopp J; Yu P.-H; LIANG-GEE CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | 0 | 0 | |
4 | 2021 | Hardware- And Memory-Efficient Architecture for Disparity Estimation of Large Label Counts | Wu S.-S; Chen H.-H; Chen L.-G.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 1 | 1 | |
5 | 2021 | How to exploit the transferability of learned image compression to conventional codecs | Klopp J.P; Liu K.-C; LIANG-GEE CHEN ; SHAO-YI CHIEN | Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition | 6 | 0 | |
6 | 2021 | Online-trained Upsampler for Deep Low Complexity Video Compression | Klopp J.P; Liu K.-C; SHAO-YI CHIEN ; LIANG-GEE CHEN | Proceedings of the IEEE International Conference on Computer Vision | 3 | 0 | |
7 | 2020 | Utilising Low Complexity CNNs to Lift Non-Local Redundancies in Video Coding | Klopp, J.P.; Chen, L.-G.; SHAO-YI CHIEN ; LIANG-GEE CHEN | IEEE Transactions on Image Processing | 10 | 7 | |
8 | 2019 | Learning a code-space predictor by exploiting intra-image-dependencies | Klopp, J.P.; Wang, Y.-C.F.; Chien, S.-Y.; Chen, L.-G.; YU-CHIANG WANG ; LIANG-GEE CHEN ; SHAO-YI CHIEN | British Machine Vision Conference 2018, BMVC 2018 | 5 | 0 | |
9 | 2019 | What synthesis is missing: Depth adaptation integrated with weak supervision for indoor scene parsing | Liu, K.-C.; Shen, Y.-T.; Klopp, J.; Chen, L.-G.; LIANG-GEE CHEN | Proceedings of the IEEE International Conference on Computer Vision | 4 | 0 | |
10 | 2019 | Message from the Honorary Chair and General Co-Chairs | Chen, L.-G.; Chang, R.C.-H.; LIANG-GEE CHEN ; SHAO-YI CHIEN | Proceedings 2019 IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2019 | 0 | 0 | |
11 | 2018 | GPU-accelerated high-resolution image stitching with better initial guess | Lin, C.-H.; Tsai, Y.M.; WEICHUNG WANG ; LIANG-GEE CHEN | 2018 IEEE International Conference on Consumer Electronics, ICCE 2018 | 5 | 0 | |
12 | 2018 | A 203 FPS VLSI Architecture of Improved Dense Trajectories for Real-Time Human Action Recognition | Lin, Z.-Y.; Chen, J.-L.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing - Proceedings | 1 | 0 | |
13 | 2018 | Simple online and realtime tracking with spherical panoramic camera | Liu, K.-C.; Shen, Y.-T.; LIANG-GEE CHEN | 2018 IEEE International Conference on Consumer Electronics, ICCE 2018 | 7 | 0 | |
14 | 2018 | A 473 μw wireless 16-channel neural recording SoC with RF energy harvester | Yeh, K.-Y.; Huang, Y.-J.; Chen, T.-C.; Chen, L.-G.; Lu, S.-S.; LIANG-GEE CHEN | 2018 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2018 | 0 | 0 | |
15 | 2018 | A 65 fps Full-HD Hardware Implementation of HOG, HOF, MBHx, and MBHy for Real-Time Action Recognition | Lin, Z.-Y.; Chen, J.-L.; Chen, L.-G.; LIANG-GEE CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | 1 | 0 | |
16 | 2018 | Video Stereo Matching with Temporally Consistent Belief Propagation | Hou, H.-Y.; Wu, S.-S.; Chang, D.-F.; LIANG-GEE CHEN | Proceedings - IEEE International Conference on Multimedia and Expo | 1 | 0 | |
17 | 2018 | Accurate and Bandwidth Efficient Architecture for CNN-based Full-HD Super-Resolution | Chih, C.-Y.; Wu, S.-S.; Klopp, J.P.; Chen, L.-G.; LIANG-GEE CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | 11 | 0 | |
18 | 2017 | Interactive sticker system with Intel RealSense | Chih, C.-Y.; Wan, Y.-C.; Hsu, Y.-C.; LIANG-GEE CHEN | 2017 IEEE International Conference on Consumer Electronics, ICCE 2017 | 3 | 0 | |
19 | 2017 | A 120 fps 1080p resolution block-based feature extraction architecture implementation for real-time action recognition | Yen, C.-T.; Chen, W.-Y.; Chen, L.-G.; LIANG-GEE CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | 0 | 0 | |
20 | 2017 | 打造人工智慧創新環境機制 | 陳良基; LIANG-GEE CHEN | 國土及公共治理季刊 | |||
21 | 2017 | Fast Physically Correct Refocusing for Sparse Light Fields Using Block-Based Multi-Rate View Interpolation | Huang, C.-T.; Wang, Y.-W.; Huang, L.-R.; Chin, J.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Image Processing | 2 | 2 | |
22 | 2017 | Accurate and Fast Segment-Based cost aggregation algorithm for stereo matching | Chang, D.-F.; Wu, S.-S.; Hou, H.-Y.; Chen, L.-G.; LIANG-GEE CHEN | 2017 IEEE 19th International Workshop on Multimedia Signal Processing, MMSP 2017 | 2 | 0 | |
23 | 2016 | 3-D perception enhancement in autostereoscopic TV by depth cue for 3-D model interaction | Shen, Y.-T.; Liu, G.-L.; Wu, S.-S.; LIANG-GEE CHEN | 2016 IEEE International Conference on Consumer Electronics, ICCE 2016 | 2 | 0 | |
24 | 2016 | Accelerated local feature extraction in a reuse scheme for efficient action recognition | Chen, J.-L.; Lin, Z.-Y.; Wan, Y.-C.; LIANG-GEE CHEN | Proceedings - International Conference on Image Processing, ICIP | 2 | 0 | |
25 | 2016 | Efficient hardware architecture for large disparity range stereo matching based on belief propagation | Wu, S.-S.; Tsai, C.-H.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation | 20 | 0 | |
26 | 2015 | Region-of-unpredictable determination for accelerated full-frame feature generation in video sequences | Chen, J.-L.; Kuo, C.-C.; Chen, L.-G.; LIANG-GEE CHEN | 2014 IEEE Visual Communications and Image Processing Conference, VCIP 2014 | 0 | 0 | |
27 | 2015 | A real-time 3D interactive system with stereo camera in the uncertain background | Yu, C.-W.; Chang, C.-W.; Chen, L.-G.; LIANG-GEE CHEN | 2015 IEEE International Conference on Consumer Electronics, ICCE 2015 | 0 | 0 | |
28 | 2015 | An efficient hardware implementation of HON4D feature extraction for real-time action recognition | Hsu, C.-J.; Chen, J.-L.; Chen, L.-G.; LIANG-GEE CHEN | Proceedings of the International Symposium on Consumer Electronics, ISCE | 6 | 0 | |
29 | 2015 | A 1920×1080 30fps 611 mW five-view depth-estimation processor for light-field applications | Chen, H.-H.; Huang, C.-T.; Wu, S.-S.; Hung, C.-L.; Ma, T.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Solid-State Circuits Conference | 16 | 0 | |
30 | 2015 | Memory efficient architecture for belief propagation based disparity estimation | Wu, S.-S.; Chen, H.-H.; Tsai, C.-H.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | 2 | 0 | |
31 | 2015 | Fast realistic refocusing for sparse light fields | Huang, C.-T.; Chin, J.; Chen, H.-H.; Wang, Y.-W.; Chen, L.-G.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | 10 | 0 | |
32 | 2015 | A 130.3 mW 16-core mobile GPU with power-aware pixel approximation techniques | Chen, Y.-J.; Hsu, C.-H.; Hung, C.-Y.; Chang, C.-M.; Chuang, S.-Y.; Chen, L.-G.; Chien, S.-Y.; LIANG-GEE CHEN ; SHAO-YI CHIEN | IEEE Journal of Solid-State Circuits | 5 | 3 | |
33 | 2015 | Incremental new actions learning system with limited cost and storage | Chang, C.-W.; Chen, L.-G.; LIANG-GEE CHEN | Proceedings of the International Symposium on Consumer Electronics, ISCE | 0 | 0 | |
34 | 2014 | Encoder Hardware Architecture for HEVC. | Tsai, Sung-Fang; Tsai, Cheng-Han; Chen, Liang-Gee; LIANG-GEE CHEN | High Efficiency Video Coding (HEVC), Algorithms and Architectures | |||
35 | 2014 | Interactive clothing retrieval system | Chen, J.-L.; Chen, W.-Y.; Chen, I.-K.; Chi, C.-Y.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 6 | 0 | |
36 | 2014 | An integrated system for object tracking, detection, and online learning with real-time RGB-D video | Chen, I.-K.; Chi, C.-Y.; Hsu, S.-L.; Chen, L.-G.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | 2 | 0 | |
37 | 2014 | A spatial first 3-D XYT feature point extraction algorithm for efficient human action recognition | Chen, W.-Y.; Pan, T.-F.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 1 | 0 | |
38 | 2014 | Welcome message from chairs | Chen, L.-G.; Kuo, S.-Y.; Hsu, J.; Wu, C.-W.; Chen, Y.-K.; LIANG-GEE CHEN | IEEE International Conference on Internet of Things, iThings 2014, IEEE International Conference on Green Computing and Communications, GreenCom 2014 and IEEE International Conference on Cyber-Physical-Social Computing, CPS 2014 | 0 | 0 | |
39 | 2014 | On-the-fly fashion photograph recommendation system with robust face shape features | Chen, W.-Y.; Chen, J.-L.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 5 | 0 | |
40 | 2014 | A real-time system for object detection and location reminding with RGB-D camera | Chen, I.-K.; Chi, C.-Y.; Hsu, S.-L.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 11 | 0 | |
41 | 2014 | Design and implementation of a low power spike detection processor for 128-channel spike sorting microsystem | Ma, T.-C.; Chen, T.-C.; Chen, L.-G.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | 7 | 0 | |
42 | 2014 | Automatic video segmentation and object tracking with real-time RGB-D data | Chen, I.-K.; Hsu, S.-L.; Chi, C.-Y.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 2 | 0 | |
43 | 2013 | A 401GFlops/W 16-cores signal reconstruction platform with a 4G entries/s matrix generation engine for compressed sensing and sparse representation | Tsai, Y.-M.; Yang, T.-J.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Symposium on VLSI Circuits | |||
44 | 2013 | A 1062Mpixels/s 8192×4320p High Efficiency Video Coding (H.265) encoder chip | Tsai, S.-F.; Li, C.-T.; Chen, H.-H.; Tsung, P.-K.; Chen, K.-Y.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Symposium on VLSI Circuits | |||
45 | 2013 | A 130.3mW 16-core mobile GPU with power-aware approximation techniques | Chen, Y.-J.; Chuang, S.-Y.; Hung, C.-Y.; Hsu, C.-H.; Chang, C.-M.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | 2013 IEEE Asian Solid-State Circuits Conference, A-SSCC 2013 | 5 | 0 | |
46 | 2013 | 3D hand localization by low cost webcams | Ko, C.-Y.; Li, C.-T.; Chung, C.-H.; Chen, L.-G.; LIANG-GEE CHEN | Proceedings of SPIE - The International Society for Optical Engineering | 3 | 0 | |
47 | 2013 | Low-power multi-processor system architecture design for universal biomedical signal processing | Cheng, L.-F.; Chen, T.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | 2 | 0 | |
48 | 2013 | Intelligent document capturing and blending system based on robust feature matching with an active camera | Chen, W.-Y.; Chen, J.-L.; Su, Y.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 1 | 0 | |
49 | 2013 | Evolving technology integration for consumer electronics. | Liu, Chun-Ting; Chen, Liang-Gee; Hahm, Cheul-Hee; Sung, Answer; Chang, Yung-Sheng; LIANG-GEE CHEN | IEEE International Symposium on Consumer Electronics, ISCE 2013, Hsinchu City, Taiwan, June 3-6, 2013 | 0 | 0 | |
50 | 2013 | Video compression | Chen, Y.-H.; Chen, L.-J.; LIANG-GEE CHEN | Handbook of Signal Processing Systems: Second Edition | 3 | 0 | |
51 | 2013 | Brain-inspired framework for fusion of multiple depth cues | Li, C.-T.; Lai, Y.-C.; Wu, C.; Tsai, S.-F.; Chen, T.-C.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | IEEE Transactions on Circuits and Systems for Video Technology | 6 | 7 | |
52 | 2013 | Guest editorial: Special section on new software/hardware paradigms for error-tolerant multimedia systems | reopoulos, Y.; Chen, L.-G.; Evans, B.L.; Jiang, H.; Kumar, R.; LIANG-GEE CHEN | IEEE Transactions on Multimedia | 0 | 0 | |
53 | 2013 | Acquire user's distance by face detection | Ko, C.-Y.; LIANG-GEE CHEN | Proceedings of the International Symposium on Consumer Electronics, ISCE | 1 | 0 | |
54 | 2012 | CRISP-II: Coarse-grained reconfigurable image stream processor for image-processing and intelligent operations in QFHD video cameras | Cheng, T.-Y.; Chen, L.-G.; Chien, S.-Y.; LIANG-GEE CHEN ; SHAO-YI CHIEN | 2012 IEEE Asian Solid-State Circuits Conference, A-SSCC | 1 | 0 | |
55 | 2012 | A 69mW 140-meter/60fps and 60-meter/300fps intelligent vision SoC for versatile automotive applications | Tsai, Y.-M.; Yang, T.-J.; Tsai, C.-C.; Huang, K.-Y.; LIANG-GEE CHEN | IEEE Symposium on VLSI Circuits, Digest of Technical Papers | 14 | 0 | |
56 | 2012 | A high speed feature matching architecture for real-time video stabilization | Huang, K.-Y.; Tsai, Y.-M.; Yang, T.-J.; LIANG-GEE CHEN | ISCAS 2012 - 2012 IEEE International Symposium on Circuits and Systems | 2 | 0 | |
57 | 2012 | WarmL1: A warm-start homotopy-based reconstruction algorithm for sparse signals | Yang, T.-J.; Tsai, Y.-M.; Li, C.-T.; LIANG-GEE CHEN | IEEE International Symposium on Information Theory - Proceedings | 2 | 0 | |
58 | 2012 | Assessing normality of heart sound by matching pursuit residue with frequency-domain-based templates | Chen, H.-H.; Chen, T.-C.; Chen, L.-G.; LIANG-GEE CHEN | Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS | 1 | 0 | |
59 | 2012 | A depth adaptation system based on perceptual horopter effect | Wu, C.; Li, C.-T.; Lai, Y.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 0 | 0 | |
60 | 2012 | Visual vocabulary processor based on binary tree architecture for real-time object recognition in full-HD resolution | Chen, T.-W.; Su, Y.-C.; Huang, K.-Y.; Tsai, Y.-M.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | IEEE Transactions on Very Large Scale Integration (VLSI) Systems | 19 | 12 | |
61 | 2012 | Compressive sensing based client-cloud system for 3D depth reconstruction | Wu, C.-H.; Chi, C.-Y.; Tsai, Y.-M.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics - ICCE-Berlin | 0 | 0 | |
62 | 2012 | A 52 mW full HD 160-degree object viewpoint recognition SoC with visual vocabulary processor for wearable vision applications | Su, Yu-Chi; Huang, Keng-Yen; Chen, Tse-Wei; Tsai, Yi-Min; SHAO-YI CHIEN ; LIANG-GEE CHEN | IEEE Journal of Solid-State Circuits | 25 | 23 | |
63 | 2012 | An intelligent depth-based obstacle detection system for visually-impaired aid applications | Lee, C.-H.; Su, Y.-C.; Chen, L.-G.; LIANG-GEE CHEN | International Workshop on Image Analysis for Multimedia Interactive Services | 34 | 0 | |
64 | 2012 | Low power and high accuracy spike sorting microprocessor with on-line interpolation and re-alignment in 90nm CMOS process | Chen, T.-C.; Ma, T.-C.; Chen, Y.-Y.; Chen, L.-G.; LIANG-GEE CHEN | Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS | 9 | 0 | |
65 | 2012 | A flexible fully hardwired CABAC encoder for UHDTV H.264/AVC high profile video | Tsai, C.-H.; Tang, C.-S.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Consumer Electronics | 16 | 13 | |
66 | 2012 | A chip architecture for compressive sensing based detection of IC trojans | Tsai, Y.-M.; Huang, K.-Y.; Kung, H.T.; Vlah, D.; Gwon, Y.L.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation | 3 | 0 | |
67 | 2012 | 3D image correction by Hilbert Huang decomposition | Li, C.-T.; Lai, Y.-C.; Wu, C.; Tsai, S.-F.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 0 | 0 | |
68 | 2012 | A viewer centric depth adjustment for stereoscopic images | Wu, C.; Li, C.-T.; Chung, C.-H.; Ko, C.-Y.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics - Berlin, ICCE-Berlin | 3 | 0 | |
69 | 2012 | Exploration of reusing the pre-recorded training data set to improve the supervised classifier for EEG-based motor-imagery brain computer interfaces | Chen, Y.-Y.; Chen, T.-C.; Chen, C.-C.; Liao, H.-I.; Sio, L.-T.; Chen, L.-G.; LIANG-GEE CHEN | ISCAS 2012 - 2012 IEEE International Symposium on Circuits and Systems | 0 | 0 | |
70 | 2012 | ISense3D: A real-time viewpoint-aware 3D video synthesis system | Tsai, S.-F.; Tsung, P.-K.; Chen, K.-Y.; Li, C.-T.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 0 | 0 | |
71 | 2012 | Architecture design of the multi-functional wavelet-based ECG microprocessor for realtime detection of abnormal cardiac events | Cheng, L.-F.; Chen, T.-C.; Chen, L.-G.; LIANG-GEE CHEN | Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS | 8 | 0 | |
72 | 2012 | Technical program chairs' message | Kim, Y.H.; Chen, L.-G.; Serdijn, W.A.; LIANG-GEE CHEN | ISCAS 2012 - 2012 IEEE International Symposium on Circuits and Systems | 0 | 0 | |
73 | 2012 | Robust moving object tracking and trajectory prediction for visual navigation in dynamic environments | Hsieh, Y.-S.; Su, Y.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 6 | 0 | |
74 | 2012 | An intelligent depth-based obstacle detection for mobile applications | Lee, C.-H.; Su, Y.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 6 | 0 | |
75 | 2012 | Fast adaptive loop filter algorithm for high efficiency video coding | Chen, H.-H.; Tsai, S.-F.; Li, C.-T.; Tsung, P.-K.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics, ICCE-Berlin | 0 | 0 | |
76 | 2012 | Accurate positioning system based on street view recognition | Lee, C.-H.; Su, Y.-C.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing - Proceedings | 1 | 0 | |
77 | 2012 | A 1.0TOPS/W 36-core neocortical computing processor with 2.3Tb/s Kautz NoC for universal visual recognition | Tsai, C.-Y.; Lee, Y.-J.; Chen, C.-T.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Solid-State Circuits Conference | 6 | 0 | |
78 | 2012 | A real-time multi-user face unlock system via fast sparse coding approximation | Chen, I.-K.; Tsai, Y.-M.; Hwang, J.-J.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics - ICCE-Berlin | 0 | 0 | |
79 | 2012 | Channel selection for epilepsy seizure prediction method based on machine learning | Chang, N.-F.; Chen, T.-C.; Chiang, C.-Y.; LIANG-GEE CHEN | Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS | 36 | 0 | |
80 | 2011 | Smart display: A mobile self-adaptive projector-camera system | Yang, T.-J.; Tsai, Y.-M.; LIANG-GEE CHEN | Proceedings - IEEE International Conference on Multimedia and Expo | 7 | 0 | |
81 | 2011 | Analysis and design of on-sensor ECG processors for realtime detection of cardiac anomalies including VF, VT, and PVC | Chen, H.-H.; Chiang, C.-Y.; Chen, T.-C.; Liu, C.-S.; Huang, Y.-J.; Lu, S.-S.; Lin, C.-W.; Chen, L.-G.; LIANG-GEE CHEN ; SHEY-SHI LU ; CHII-WANN LIN | Journal of Signal Processing Systems | 4 | 2 | |
82 | 2011 | Perceptual multi-cues 2D-to-3D conversion system | Li, C.-T.; Lai, Y.-C.; Wu, C.; Chen, L.-G.; LIANG-GEE CHEN | 2011 IEEE Visual Communications and Image Processing, VCIP 2011 | 1 | 0 | |
83 | 2011 | Algorithm and architecture design of a knowledge-based vehicle tracking for intelligent cruise control | Tsai, Y.-M.; Tsai, C.-C.; Huang, K.-Y.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Multimedia and Expo | 0 | 0 | |
84 | 2011 | Cubic spline interpolation with overlapped window and data reuse for on-line Hilbert Huang transform biomedical microprocessor | Chang, N.-F.; Chiang, C.-Y.; Chen, T.-C.; Chen, L.-G.; LIANG-GEE CHEN | Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS | 14 | 0 | |
85 | 2011 | An intelligent vision-based vehicle detection and tracking system for automotive applications | Tsai, Y.-M.; Tsai, C.-C.; Huang, K.-Y.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 13 | 0 | |
86 | 2011 | Algorithm and implementation of multi-channel spike sorting using GPU in a home-care surveillance system | Chen, Y.-Y.; Tsai, Y.M.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Multimedia and Expo | 6 | 0 | |
87 | 2011 | ReSSP: A 5.877 TOPS/W reconfigurable smart-camera stream processor | Chan, W.-K.; Tseng, Y.-H.; Tsung, P.-K.; Chuang, T.-D.; Tsai, Y.-M.; Chen, W.-Y.; LIANG-GEE CHEN ; SHAO-YI CHIEN | Proceedings of the Custom Integrated Circuits Conference | 4 | 0 | |
88 | 2011 | Trends in design and implementation of signal processing systems [In the Spotlight] | Mansour, M.M.; Chen, L.-G.; Sung, W.; LIANG-GEE CHEN | IEEE Signal Processing Magazine | 2 | 3 | |
89 | 2011 | P-45: A quality measurement based on object formation for 3D contents | Li, C.-T.; Lai, Y.-C.; Wu, C.; Cheng, C.-C.; Chen, L.-G.; LIANG-GEE CHEN | SID International Symposium | |||
90 | 2011 | A 52mW full HD 160-degree object viewpoint recognition SoC with visual vocabulary processor for wearable vision applications | Su, Yu-Chi; Huang, Keng-Yen; Chen, Tse-Wei; Tsai, Yi-Min; SHAO-YI CHIEN ; LIANG-GEE CHEN | IEEE Symposium on VLSI Circuits, Digest of Technical Papers | 8 | ||
91 | 2011 | Intelligent 3D online virtual conferencing system with natural human-computer interaction | Su, Y.-C.; Tsai, C.-J.; Huang, K.-Y.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 0 | 0 | |
92 | 2011 | A real-time 1080p 2D-to-3D video conversion system | Tsai, S.-F.; Cheng, C.-C.; Li, C.-T.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 9 | 0 | |
93 | 2011 | A 216fps 4096x2160p 3DTV set-top box SoC for free-viewpoint 3DTV applications | Tsung, P.-K.; LIANG-GEE CHEN ; SHAO-YI CHIEN et al. | IEEE International Solid-State Circuits Conference | 9 | 0 | |
94 | 2011 | A 172.6mW 43.8GFLOPS energy-efficient scalable eight-core 3D graphics processor for mobile multimedia applications | Chang, C.-M.; Chen, Y.-J.; Lu, Y.-C.; Lin, C.-Y.; Chen, L.-G.; Chien, S.-Y.; LIANG-GEE CHEN ; SHAO-YI CHIEN | IEEE Asian Solid-State Circuits Conference, A-SSCC 2011 | 7 | 0 | |
95 | 2011 | Six-dimensional free-viewpoint synthesis flow for QFHD free-viewpoint/ multiview 3DTV applications | Tsung, P.-K.; Lin, P.-C.; Chen, K.-Y.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Multimedia and Expo | 0 | 0 | |
96 | 2011 | Mobile energy expenditure tracking system based on heart rate and motion providing extra extensions for personalized care | Chen, H.-H.; Chen, Y.-H.; Chen, T.-C.; Chen, L.-G.; LIANG-GEE CHEN | Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS | 1 | 0 | |
97 | 2011 | On-line empirical mode decomposition biomedical microprocessor for Hilbert Huang transform | Chang, N.-F.; Chen, T.-C.; Chiang, C.-Y.; Chen, L.-G.; LIANG-GEE CHEN | 2011 IEEE Biomedical Circuits and Systems Conference, BioCAS 2011 | 34 | 0 | |
98 | 2011 | Design and implementation of cubic spline interpolation for spike sorting microsystems | Chen; T.-C.; Chen; Y.-Y.; Ma; T.-C.; L.-G.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | 7 | 0 | |
99 | 2011 | Hardware-efficient belief propagation | Liang, C.-K.; Cheng, C.-C.; Lai, Y.-C.; Chen, L.-G.; Chen, H.H.; LIANG-GEE CHEN ; HOMER H. CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 53 | 40 | |
100 | 2011 | A cortex-like model for rapid object recognition using feature-selective hashing | Lee, Y.-J.; Tsai, C.-Y.; LIANG-GEE CHEN | Proceedings of the International Joint Conference on Neural Networks | 3 | 0 | |
101 | 2011 | Reconfigurable morphological image processing accelerator for video object segmentation | SHAO-YI CHIEN ; LIANG-GEE CHEN | Journal of Signal Processing Systems | 12 | 10 | |
102 | 2011 | System perspective on embedded multimedia. | Chen, Liang-Gee; LIANG-GEE CHEN | 9th IEEE Symposium on Embedded Systems for Real-Time Multimedia, ESTIMedia 2011, Taipei, Taiwan, October 13-14, 2011 | 0 | 0 | |
103 | 2011 | Robust heart rate measurement with phonocardiogram by on-line template extraction and matching. | Chen, Y.H.; Chen, H.H.; Chen, T.C.; Chen, L.G.; LIANG-GEE CHEN | Annual International Conference of the IEEE Engineering in Medicine and Biology Society | |||
104 | 2011 | VLSI design and implementation of density-based spike classification for neuroprosthetic applications | Cheng, L.-F.; Chen, T.-C.; Chen, L.-G.; LIANG-GEE CHEN | APSIPA ASC 2011 - Asia-Pacific Signal and Information Processing Association Annual Summit and Conference 2011 | |||
105 | 2011 | Density-based hardware-oriented classification for spike sorting microsystems | Cheng, L.-F.; Chen, T.-C.; Chang, N.-F.; Chen, L.-G.; LIANG-GEE CHEN | 2011 5th International IEEE/EMBS Conference on Neural Engineering, NER 2011 | 2 | 0 | |
106 | 2011 | A real-time 1080p 2D-to-3D video conversion system | Tsai, S.-F.; Cheng, C.-C.; Li, C.-T.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Consumer Electronics | 38 | 33 | |
107 | 2011 | Power estimation scheme for lowpower oriented biomedical SoC extended to very deep submicron technology | Chen, H.-H.; Chen, T.-C.; Chiang, C.-Y.; Chen, L.-G.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | 0 | 0 | |
108 | 2011 | A quality measurement based on object formation for 3D contents | Li, C.-T.; Lai, Y.-C.; Wu, C.; Cheng, C.-C.; Chen, L.-G.; LIANG-GEE CHEN | 49th Annual SID Symposium, Seminar, and Exhibition 2011, Display Week 2011 | |||
109 | 2011 | Robust heart rate measurement with phonocardiogram by on-line template extraction and matching | Chen, Y.-H.; Chen, H.-H.; Chen, T.-C.; Chen, L.-G.; LIANG-GEE CHEN | Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS | 14 | 0 | |
110 | 2011 | Seizure prediction based on classification of EEG synchronization patterns with on-line retraining and post-processing scheme | Chiang, C.-Y.; Chang, N.-F.; Chen, T.-C.; Chen, H.-H.; LIANG-GEE CHEN | Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS | 64 | 0 | |
111 | 2010 | 1.4μW/channel 16-channel EEG/ECoG Processor for Smart Brain Sensor SoC | T.-C. Chen; T.-H. Lee; Y.-H. Chen; T.-C. Ma; T.-D. Chuang; C.-J. Chou; C.-H. Yang; T.-H. Lin; L.-G. Chen; LIANG-GEE CHEN ; TSUNG-HSIEN LIN | IEEE Symposium on VLSI Circuits | 14 | 0 | |
112 | 2010 | Efficient message reduction algorithm for stereo matching using belief propagation | Lai, Y.-C.; Cheng, C.-C.; Liang, C.-K.; Chen, L.-G.; LIANG-GEE CHEN | International Conference on Image Processing, ICIP | 5 | 0 | |
113 | 2010 | System scheduling analysis for high definition multiview video encoder | Tsung, P.-K.; Ding, L.-F.; Chen, W.-Y.; Chuang, T.-D.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | International SoC Design Conference, ISOCC 2010 | 0 | 0 | |
114 | 2010 | A 2D-to-3D conversion system using edge information | Cheng; C.-C.; Li; C.-T.; Chen; L.-G.; LIANG-GEE CHEN | ICCE 2010 - 2010 International Conference on Consumer Electronics | 34 | 0 | |
115 | 2010 | Learning-based vehicle detection using up-scaling schemes and predictive frame pipeline structures | Tsai, Y.-M.; Huang, K.-Y.; Tsai, C.-C.; Chen, L.-G.; LIANG-GEE CHEN | International Conference on Pattern Recognition | 3 | 0 | |
116 | 2010 | Pyramid architecture for 3840 X 2160 quad full high definition 30 frames/s video acquisition | Chao, W.-M.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 11 | 11 | |
117 | 2010 | Feature-based video stabilization for vehicular applications | Huang, K.-Y.; Tsai, Y.-M.; Tsai, C.-C.; Chen, L.-G.; LIANG-GEE CHEN | International Symposium on Consumer Electronics, ISCE | 11 | 0 | |
118 | 2010 | Sub-microwatt correlation integral processor for implantable closed-loop epileptic neuromodulator | Chen, Y.-H.; Chen, T.-C.; Lee, T.-H.; Chen, L.-G.; LIANG-GEE CHEN | ISCAS 2010 - 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems | 5 | 0 | |
119 | 2010 | A novel 2Dd-to-3D conversion system using edge information | Cheng, C.-C.; Li, C.-T.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Consumer Electronics | 81 | 67 | |
120 | 2010 | A 0.077 to 0.168 nJ/bit/iteration scalable 3GPP LTE turbo decoder with an adaptive sub-block parallel scheme and an embedded DVFS engine | Cheng, C.-C.; Tsai, Y.-M.; Chen, L.-G.; Ch; rakasan, A.P.; LIANG-GEE CHEN | Custom Integrated Circuits Conference | 7 | 0 | |
121 | 2010 | 51.3: An ultra-low-cost 2-D/3-D video-conversion system | Cheng, C.-C.; Li, C.-T.; Chen, L.-G.; LIANG-GEE CHEN | SID International Symposium | |||
122 | 2010 | Architecture design of stereo matching using belief propagation | Cheng, C.-C.; Li, C.-T.; Liang, C.-K.; Lai, Y.-C.; Chen, L.-G.; LIANG-GEE CHEN | ISCAS 2010 - 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems | 12 | 0 | |
123 | 2010 | Localized detection of abandoned luggage | Chang, J.-Y.; Liao, H.-H.; Chen, L.-G.; LIANG-GEE CHEN | Eurasip Journal on Advances in Signal Processing | 25 | 18 | |
124 | 2010 | Low-cost hardware architecture design for 3D warping engine in multiview video applications | Lin, P.-C.; Tsung, P.-K.; Chen, L.-G.; LIANG-GEE CHEN | ISCAS 2010 - 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems | 4 | 0 | |
125 | 2010 | Video stabilization for vehicular applications using surf-like descriptor and KD-tree | Huang, K.-Y.; Tsai, Y.-M.; Tsai, C.-C.; Chen, L.-G.; LIANG-GEE CHEN | International Conference on Image Processing, ICIP | 18 | 0 | |
126 | 2010 | Video 2-D-to-3-D conversion based on hybrid depth cueing | Cheng, C.-C.; Li, C.-T.; Chen, L.-G.; LIANG-GEE CHEN | Journal of the Society for Information Display | 3 | 2 | |
127 | 2010 | Hybrid color compensation for virtual view synthesis in multiview video applications | Tsung, P.-K.; Yang, H.-J.; Lin, P.-C.; Chen, K.-Y.; Chen, L.-G.; LIANG-GEE CHEN | ISCAS 2010 - 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems | 0 | 0 | |
128 | 2010 | A novel hybrid pipeline design methodology on a multi-cores streaming system for multimedia applications | Su, Y.-C.; Tsai, S.-F.; Tsao, Y.-M.; Chen, L.-G.; LIANG-GEE CHEN | International Symposium on Consumer Electronics, ISCE | 1 | 0 | |
129 | 2010 | An exploration of on-road vehicle detection using hierarchical scaling schemes | Tsai, Y.-M.; Huang, K.-Y.; Tsai, C.-C.; Chen, L.-G.; LIANG-GEE CHEN | International Conference on Image Processing, ICIP | 5 | 0 | |
130 | 2010 | Analysis and design of on-sensor ECG processors for realtime detection of VF, VT, and PVC | Chiang, C.-Y.; Chen, H.-H.; Chen, T.-C.; Liu, C.-S.; Huang, Y.-J.; Lu, S.-S.; Lin, C.-W.; Chen, L.-G.; LIANG-GEE CHEN ; SHEY-SHI LU ; CHII-WANN LIN | IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation | 8 | 0 | |
131 | 2010 | Hybrid motion/depth-oriented inpainting for virtual view synthesis in multiview applications | Chen, K.-Y.; Tsung, P.-K.; Lin, P.-C.; Yang, H.-J.; Chen, L.-G.; LIANG-GEE CHEN | 3DTV-CON 2010: The True Vision - Capture, Transmission and Display of 3D Video | 25 | 0 | |
132 | 2010 | Low bandwidth decoder framework for H.264/AVC scalable extension | Chuang, T.-D.; Tsung, P.-K.; Lin, P.-C.; Chang, L.-M.; Ma, T.-C.; Chen, Y.-H.; Chen, L.-G.; LIANG-GEE CHEN | ISCAS 2010 - 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems | 4 | 0 | |
133 | 2010 | A 59.5mW scalable/multi-view video decoder chip for quad/3D full HDTV and video streaming applications | Chuang, T.-D.; Tsung, P.-K.; Lin, P.-C.; Chang, L.-M.; Ma, T.-C.; Chen, Y.-H.; LIANG-GEE CHEN | Digest of Technical Papers - IEEE International Solid-State Circuits Conference | 41 | 0 | |
134 | 2010 | Video encoder design for high-definition 3D video communication systems | Tsung, P.-K.; Ding, L.-F.; Chen, W.-Y.; Chuang, T.-D.; Chen, Y.-H.; Hsiao, P.-H.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | IEEE Communications Magazine | 6 | 4 | |
135 | 2010 | A 212 MPixels/s 4096 × 2160p multiview video encoder chip for 3D/Quad full HDTV applications | Ding, L.-F.; Chen, W.-Y.; Tsung, P.-K.; Chuang, T.-D.; Hsiao, P.-H.; Chen, Y.-H.; Chiu, H.-K.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | IEEE Journal of Solid-State Circuits | 50 | 40 | |
136 | 2010 | Accuracy and power tradeoff in spike sorting microsystems with cubic spline interpolation | Chen, Y.-Y.; Chen, T.-C.; LIANG-GEE CHEN | ISCAS 2010 - 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems | 10 | 0 | |
137 | 2010 | Architecture design of fine grain quality scalable encoder with CABAC for H.264/AVC scalable extension | Chuang, T.-D.; Chen, Y.-J.; Chen, Y.-H.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | Journal of Signal Processing Systems | 3 | 1 | |
138 | 2010 | Tera-scale performance machine learning SoC (MLSoC) with dual stream processor architecture for multimedia content analysis | Chen, T.-W.; Tang, C.-S.; Tsai, S.-F.; Tsai, C.-H.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | IEEE Journal of Solid-State Circuits | 5 | 5 | |
139 | 2010 | A multimedia semantic analysis SoC (SASoC) with machine-learning engine | Chen, T.-W.; Chen, Y.-L.; Cheng, T.-Y.; Tang, C.-S.; Tsung, P.-K.; Chuang, T.-D.; LIANG-GEE CHEN ; SHAO-YI CHIEN | Digest of Technical Papers - IEEE International Solid-State Circuits Conference | 14 | 0 | |
140 | 2009 | A 212MPixels/s 4096×2160p multiview video encoder chip for 3D/Quad HDTV applications | Ding, L.F.; Ding, Li-Fu; LIANG-GEE CHEN ; SHAO-YI CHIEN et al. | IEEE International Solid-State Circuits Conference | 27 | 0 | |
141 | 2009 | Single-iteration full-search fractional motion estimation for quad full HD H.264/AVC encoding | Tsung, P.-K.; Chen, W.-Y.; Ding, L.-F.; Tsai, C.-Y.; Chuang, T.-D.; LIANG-GEE CHEN | Proceedings - 2009 IEEE International Conference on Multimedia and Expo, ICME 2009 | 18 | 0 | |
142 | 2009 | Hardware-efficient belief propagation | Liang, C.-K.; Cheng, C.-C.; Lai, Y.-C.; Chen, L.-G.; Chen, H.H.; LIANG-GEE CHEN ; HOMER H. CHEN | 2009 IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops, CVPR Workshops 2009 | 36 | 0 | |
143 | 2009 | Multimode embedded compression codec engine for power-aware video coding system | Cheng, C.-C.; Tseng, P.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 61 | 53 | |
144 | 2009 | Mapping scalable video coding decoder on multi-core stream processors | Su, Y.-C.; Tsai, S.-F.; Chuang, T.-D.; Tsao, Y.-M.; Chen, L.-G.; LIANG-GEE CHEN | 2009 Picture Coding Symposium, PCS 2009 | 1 | 0 | |
145 | 2009 | Tera-scale performance machine learning SoC with dual stream processor architecture for multimedia content analysis | Chen, T.-W.; Tang, C.-S.; Tsai, S.-F.; Tsai, C.-H.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | Custom Integrated Circuits Conference | 1 | 0 | |
146 | 2009 | Optimal transform of multichannel evoked neural signals using a video compression algorithm | Chung, C.H.; Chen, L.-G.; Kao, Y.-C.; LIANG-GEE CHEN ; FU-SHAN JAW | 3rd International Conference on Bioinformatics and Biomedical Engineering | 1 | 0 | |
147 | 2009 | Tera-scale performance image stream processor with SoC architecture for multimedia content analysis | Chen, T.-W.; Tang, C.-S.; Tsai, S.-F.; Tsai, C.-H.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | 2009 IEEE Asian Solid-State Circuits Conference, A-SSCC 2009 | 0 | 0 | |
148 | 2009 | On-chip principal component analysis with a mean pre-estimation method for spike sorting | Chen, T.-C.; Chen, K.; Liu, W.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | 6 | 0 | |
149 | 2009 | Plenary presentation B. | Chen, Liang-Gee; LIANG-GEE CHEN | Annual IEEE International SoC Conference, SoCC 2009, September 9-11, 2009, Belfast, Northern Ireland, UK, Proceedings | 0 | 0 | |
150 | 2009 | Welcome from the MCSoC-09 chairs | Shao, Z.; Ejnioui, A.; Abdallah, A.B.; Koibuchi, M.; Benini, L.; LIANG-GEE CHEN | Proceedings of the International Conference on Parallel Processing Workshops | 0 | 0 | |
151 | 2009 | Bandwidth-efficient cache-based motion compensation architecture with DRAM-friendly data access control | Chuang, T.-D.; Chang, L.-M.; Chiu, T.-W.; Chen, Y.-H.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing - Proceedings | 21 | 0 | |
152 | 2009 | A block-based 2D-to-3D conversion system with bilateral filter | Cheng, C.-C.; Li, C.-T.; Huang, P.-S.; Lin, T.-K.; Tsai, Y.-M.; Chen, L.-G.; LIANG-GEE CHEN | Digest of Technical Papers - IEEE International Conference on Consumer Electronics | 42 | 0 | |
153 | 2009 | Fast belief propagation process element for high-quality stereo estimation. | Cheng, Chao-Chung; Liang, Chia-Kai; Lai, Yen-Chieh; Chen, Homer H.; LIANG-GEE CHEN ; HOMER H. CHEN | Proceedings of the IEEE International Conference on Acoustics, Speech, and Signal Processing, ICASSP 2009, 19-24 April 2009, Taipei, Taiwan | 8 | 0 | |
154 | 2009 | Multichannel evoked neural signal compression using advanced video compression algorithm | Chen, H.C.; Chen, L.-G.; Kao, Y.-C.; LIANG-GEE CHEN ; FU-SHAN JAW | 2009 4th International IEEE/EMBS Conference on Neural Engineering | 8 | 0 | |
155 | 2009 | Algorithm and architecture for object tracking using particle filter | Wang, T.-H.; Chang, J.-Y.; Chen, L.-G.; LIANG-GEE CHEN | 2009 IEEE International Conference on Multimedia and Expo, ICME 2009 | 8 | 0 | |
156 | 2009 | Single iteration view interpolation for multiview video applications | Tsung, P.-K.; Lin, P.-C.; Ding, L.-F.; Chien, S.-Y.; SHAO-YI CHIEN ; LIANG-GEE CHEN | 3DTV-CON 2009 - 3rd 3DTV-Conference | 14 | 0 | |
157 | 2009 | Fast belief propagation process element for high-quality stereo estimation | Cheng, C.-C.; Liang, C.-K.; Lai, Y.-C.; Chen, H.H.; Chen, L.-G.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | |||
158 | 2009 | 128-Channel spike sorting processor with a parallel-folding structure in 90nm process | Chen, T.-C.; Liu, W.; Chen, L.-G.; LIANG-GEE CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | 21 | 0 | |
159 | 2009 | Pipelined arithmetic encoder design for lossless JPEG XR encoder | Chien, C.-Y.; Huang, S.-C.; Pan, C.-H.; Fang, C.-M.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 6 | 0 | |
160 | 2009 | A branch selection multi-symbol high throughput CABAC decoder architecture for H.264/AVC | Lin, P.-C.; Chuang, T.-D.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | 16 | 0 | |
161 | 2009 | Hybrid depth cueing for 2D-to-3D conversion system | Cheng, C.-C.; Li, C.-T.; Tsai, Y.-M.; Chen, L.-G.; LIANG-GEE CHEN | Proceedings of SPIE - The International Society for Optical Engineering | 10 | 0 | |
162 | 2009 | Algorithm and architecture design of power-oriented H.264/AVC baseline profile encoder for portable devices | Chen, Y.-H.; Chen, T.-C.; Tsai, C.-Y.; Tsai, S.-F.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 30 | 29 | |
163 | 2009 | iVisual: An intelligent visual sensor SoC with 2790 fps CMOS image sensor and 205 GOPS/W vision processor | Cheng, C.-C.; Lin, C.-H.; Li, C.-T.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Journal of Solid-State Circuits | 32 | 25 | |
164 | 2009 | Cache-based integer motion/disparity estimation for quad-HD H.264/AVC and HD multiview video coding | Tsung, P.-K.; Chen, W.-Y.; Ding, L.-F.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | 31 | 0 | |
165 | 2009 | 11.4: A quality-scalable depth-aware video processing system | Cheng, C.-C.; Li, C.-T.; Tsai, Y.-M.; LIANG-GEE CHEN | Digest of Technical Papers - SID International Symposium | 2 | 0 | |
166 | 2009 | Depth-aware achromatic image/video enhancement | Li, C.-T.; Cheng, C.-C.; Tsai, Y.-M.; Chen, L.-G.; LIANG-GEE CHEN | 2009 International Display Manufacturing Conference, 3D Systems and Applications, and Asia Display, IDMC/3DSA/Asia Display 2009 | |||
167 | 2008 | Spatial-temporal consistent labeling for multi-camera multi-object surveillance systems | Chang, J.-Y.; Wang, T.-H.; SHAO-YI CHIEN ; LIANG-GEE CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | 1 | 0 | |
168 | 2008 | iVisual: An intelligent visual sensor SoC with 2790fps CMOS image sensor and 205GOPS/W vision processor | Cheng, C.-C.; Lin, C.-H.; Li, C.-T.; Chang, S.C.; Chen, L.-G.; LIANG-GEE CHEN | Design Automation Conference | 8 | 0 | |
169 | 2008 | Bio-inspired unified model of visual segmentation system for CAPTCHA character recognition | Lin, C.-W.; Chen, Y.-H.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation | 10 | 0 | |
170 | 2008 | Multiview video hybrid coding system with texture-depth synthesis | Tsung, P.-K.; Lin, C.-Y.; Chen, W.-Y.; Ding, L.-F.; Chen, L.-G.; LIANG-GEE CHEN | 2008 IEEE International Conference on Multimedia and Expo, ICME 2008 | 1 | 0 | |
171 | 2008 | A 100 MHZ 1920×1080 HD-photo 20 frames/sec JPEG XR encoder design | Chien, C.-Y.; Huang, S.-C.; Lin, S.-H.; Huang, Y.-C.; Chen, Y.-C.; Chou, L.-C.; Chuang, T.-D.; Chang, Y.-W.; Pan, C.-H.; Chen, L.-G.; LIANG-GEE CHEN | International Conference on Image Processing, ICIP | 3 | 0 | |
172 | 2008 | Priority depth fusion for the 2D-to-3D conversion system | Chang, Y.-L.; Chen, W.-Y.; Chang, J.-Y.; Tsai, Y.-M.; Lee, C.-L.; Chen, L.-G.; LIANG-GEE CHEN | Proceedings of SPIE - The International Society for Optical Engineering | 16 | 0 | |
173 | 2008 | Frame-parallel design strategy for high definition B-frame H.264/AVC encoder | Chen, Y.-H.; Chuang, T.-D.; Chen, Y.-H.; Tsai, C.-H.; LIANG-GEE CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | 10 | 0 | |
174 | 2008 | An H.264/AVC scalable extension and high profile HDTV 1080p encoder chip | Chen, Y.-H.; Chuang, T.-D.; Chen, Y.-J.; Li, C.-T.; Hsu, C.-J.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | IEEE Symposium on VLSI Circuits | 37 | 0 | |
175 | 2008 | A cost effective reconfigurable memory for multimedia multithreading streaming architecture | Tsao, Y.-M.; Lok, K.-H.; Lin, Y.-C.; Sun, C.-H.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | IEEE International Symposium on Circuits and Systems | 0 | 0 | |
176 | 2008 | H.264/AVC video codec design a hardwired approach | Chen, T.-C.; Lian, C.-J.; Huang, Y.-W.; Chen, L.-G.; LIANG-GEE CHEN | Handbook of Mobile Broadcasting: DVB-H, DMB, ISDB-T, and MEDIAFLO | 1 | 0 | |
177 | 2008 | Scalable video adaptation optimization using soft decision scheme | Pan, C.-H.; Huang, S.-C.; Lee, I.-H.; Lian, C.-J.; LIANG-GEE CHEN | 2008 IEEE International Conference on Multimedia and Expo, ICME 2008 - Proceedings | 0 | 0 | |
178 | 2008 | iVisual: an intelligent visual sensor SoC with 2790fps CMOS image sensor and 205GOPS/W vision processor. | Cheng, Chih-Chi; Lin, Chia-Hua; Li, Chung-Te; Chang, Samuel C.; Chen, Liang-Gee; LIANG-GEE CHEN | Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008 | 0 | 0 | |
179 | 2008 | Real-time free viewpoint rendering system for face-to-face video conference | Pan, C.-H.; Huang, S.-C.; Chang, Y.-L.; Lian Jr.; C.; Chen, L.-G.; LIANG-GEE CHEN | Digest of Technical Papers - IEEE International Conference on Consumer Electronics | 3 | 0 | |
180 | 2008 | A localized approach to abandoned luggage detection with Foreground-Mask sampling | Liao, H.-H.; Chang, J.-Y.; Chen, L.-G.; LIANG-GEE CHEN | IEEE 5th International Conference on Advanced Video and Signal Based Surveillance, AVSS 2008 | 55 | 0 | |
181 | 2008 | Algorithm and architecture design of cache system for Motion Estimation in high definition H.264/AVC | Chen, W.-Y.; Ding, L.-F.; Tsung, P.-K.; Chen, L.-G.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | 6 | 0 | |
182 | 2008 | A real-time augmented view synthesis system for transparent car pillars | Chang, Y.-L.; Tsai, Y.-M.; Chen, L.-G.; LIANG-GEE CHEN | International Conference on Image Processing, ICIP | 0 | 0 | |
183 | 2008 | Architecture design of high performance embedded compression for high definition video coding | Chen, W.-Y.; Ding, L.-F.; Tsung, P.-K.; Chen, L.-G.; LIANG-GEE CHEN | 2008 IEEE International Conference on Multimedia and Expo, ICME 2008 | 23 | 0 | |
184 | 2008 | East motion estimation with inter-view motion vector prediction for stereo and multiview video coding | Ding, L.-F.; Tsung, P.-K.; Chen, W.-Y.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | 24 | 0 | |
185 | 2008 | Analysis of belief propagation for hardware realization | Cheng, C.-C.; Liang, C.-K.; Lai, Y.-C.; Chen, H.H.; Chen, L.-G.; LIANG-GEE CHEN ; HOMER H. CHEN | IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation | 9 | 0 | |
186 | 2008 | iVisual: An intelligent visual sensor SoC with 2790fps CiOS image sensor and 205GOPS/W vision processor | Cheng, C.-C.; Lin, C.-H.; Li, C.-T.; Chang, S.; Hsu, C.-J.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Solid-State Circuits Conference | 19 | 0 | |
187 | 2008 | Architecture design of full HD JPEG XR encoder for digital photography applications | Pan, C.-H.; Chien, C.-Y.; Chao, W.-M.; Huang, S.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Consumer Electronics | 19 | 12 | |
188 | 2008 | A 26mW 6.4GFLOPS multi-core stream processor for mobile multimedia applications | Tsao, Y.-M.; Sun, C.-H.; Lin, Y.-C.; Lok, K.-H.; Hsu, C.-J.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; |SHAO-YI CHIEN | IEEE Symposium on VLSI Circuits | 3 | 0 | |
189 | 2007 | 2.8 to 67.2mW low-power and power-aware H.264 encoder for mobile applications | Chen, T.-C.; Chen, Y.-H.; Tsai, C.-Y.; Tsai, S.-F.; SHAO-YI CHIEN ; LIANG-GEE CHEN | IEEE Symposium on VLSI Circuits, Digest of Technical Papers | 29 | 0 | |
190 | 2007 | A cost-efficient residual prediction VLSI architecture for H. 264/AVC scalable extension | Chen, Y.-H.; Chuang, T.-D.; Tsai, C.-Y.; Chen, Y.-J.; Chen, L.-G.; LIANG-GEE CHEN | 26th Picture Coding Symposium | |||
191 | 2007 | Vlsi Design Of Wavelet Transform: Analysis, Architecture, and Design Examples | LIANG-GEE CHEN ; Huang, Chao-Tsung; Chen, Ching-Yeh; Cheng, Chih-Chi | 3 | 0 | ||
192 | 2007 | 3D Video Applications and Intelligent Video Surveillance Camera and its VLSI Design. | Chien, Shao-Yi; Shih, Chi-Sheng; Ku, Mong-Kai; Yang, Chia-Lin; Chang, Yao-Wen; Kuo, Tei-Wei; CHIA-LIN YANG ; CHI-SHENG SHIH ; TEI-WEI KUO ; LIANG-GEE CHEN ; YAO-WEN CHANG ; SHAO-YI CHIEN | Proceedings of the 2007 IEEE International Conference on Multimedia and Expo, ICME 2007, July 2-5, 2007, Beijing, China | 0 | 0 | |
193 | 2007 | System bandwidth analysis of multiview video coding with precedence constraint | Tsung, P.-K.; Ding, L.-F.; Chen, W.-Y.; Chien, S.-Y.; Chen, T.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
194 | 2007 | System Bandwidth Analysis of Multiview Video Coding with Precedence Constraint. | Tsung, Pei-Kuei; Ding, Li-Fu; Chen, Wei-Yin; Chien, Shao-Yi; Chen, Tung-Chien; LIANG-GEE CHEN ; SHAO-YI CHIEN | International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA | 8 | 0 | |
195 | 2007 | An 8.6mW 12.5Mvertices/s 800MOPS 8.91mm2 stream processor core for mobile graphics and video applications | Tsao, Y.-M.; Chang, C.-H.; Lin, Y.-C.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; Chien, Shao-Yi | IEEE Symposium on VLSI Circuits | 9 | 0 | |
196 | 2007 | Multimedia and parrallel signal processors | Harrand, M.; LIANG-GEE CHEN | Digest of Technical Papers - IEEE International Solid-State Circuits Conference | 0 | 0 | |
197 | 2007 | Power-aware multimedia: Concepts and design perspectives | Lian, C.-J.; SHAO-YI CHIEN ; Lin, C.-P.; Tseng, P.-C.; LIANG-GEE CHEN | IEEE Circuits and Systems Magazine | 54 | 35 | |
198 | 2007 | Fast prediction algorithm of adaptive GOP structure for SVC | Chen, Y.-H.; Lin, C.-H.; Chen, C.-Y.; Chen, L.-G.; LIANG-GEE CHEN | Proceedings of SPIE - The International Society for Optical Engineering | |||
199 | 2007 | Fast luminance and chrominance correction based on motion compensated linear regression for multi-view video coding | Chen, W.-Y.; Ding, L.-F.; Chen, L.-G.; LIANG-GEE CHEN | Proceedings of SPIE - The International Society for Optical Engineering | |||
200 | 2007 | Computation-free motion estimation with inter-view mode decision for multiview video coding | Ding, L.-F.; Tsung, P.-K.; Chien, S.-Y.; Chen, W.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | 3DTV-CON | 7 | 0 | |
201 | 2007 | System architecture design methodology for H.264/AVC encoder | Chang, S.C.; Cheng, C.-C.; Chen, L.-G.; LIANG-GEE CHEN | International Symposium on Consumer Electronics, ISCE | 2 | 0 | |
202 | 2007 | On-chip memory optimization scheme for VLSI implementation of line-based two-dimentional discrete wavelet transform | Cheng, Chih-Chi; Huang, Chao-Tsung; Chen, Ching-Yeh; Lian, Chung-Jr; Chen, Liang-Gee; LIANG-GEE CHEN | Ieee Transactions on Circuits and Systems for Video Technology | 24 | 18 | |
203 | 2007 | 124 MSamples/s pixel-pipelined motion-JPEG 2000 codec without tile memory | Chang, Y.-W.; Cheng, C.-C.; Chen, C.-C.; Fang, H.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 8 | 6 | |
204 | 2007 | 3D video applications and intelligent video surveillance camera and its VLSI design | Chien, S.-Y.; Shih, C.-S.; Ku, M.-K.; Yang, C.-L.; Chang, Y.-W.; Kuo, T.-W.; Chen, L.-G.; LIANG-GEE CHEN | 2007 IEEE International Conference on Multimedia and Expo, ICME 2007 | |||
205 | 2007 | Algorithm and architecture design for intra prediction in H. 264/AVC high profile | Chuang, T.-D.; Chen, Y.-H.; Tsai, C.-H.; Chen, Y.-J.; Chen, L.-G.; LIANG-GEE CHEN | PCS 2007 - 26th Picture Coding Symposium | |||
206 | 2007 | Word-level parallel architecture of JPEG 2000 embedded block coding decoder | Chang, Y.-W.; Fang, H.-C.; Chen, C.-C.; Lian, C.-J.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Multimedia | 3 | 3 | |
207 | 2007 | Low power cache algorithm and architecture design for fast motion estimation in H.264/AVC encoder system | Tsai, C.-Y.; Chung, C.-H.; Chen, Y.-H.; Chen, T.-C.; Chen, L.-G.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | 15 | 0 | |
208 | 2007 | Bandwidth-efficient encoder framework for H.264/AVC scalable extension | Chen, Y.-H.; Chuang, T.-D.; Chen, Y.-J.; Chen, L.-G.; LIANG-GEE CHEN | ISM 2007 9th IEEE International Symposium on Multimedia | 7 | 0 | |
209 | 2007 | A quality-of-experience video adaptor for serving scalable video applications | Pan, C.-H.; Lee, I.-H.; Huang, S.-C.; Lian, C.-J.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Consumer Electronics | 7 | 5 | |
210 | 2007 | Novel configurable architecture of ML-decomposed binary arithmetic encoder for multimedia applications | Chen, Y.-J.; Tsai, C.-H.; Chen, L.-G.; LIANG-GEE CHEN | 2007 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2007 | 7 | 0 | |
211 | 2007 | Reconfigurable architecture for video applications | Lian Jr.; C.; Tseng, P.-C.; Chen, T.-C.; Chang, Y.-W.; Chen, L.-G.; LIANG-GEE CHEN | 20th Anniversary IEEE International SOC Conference | 0 | 0 | |
212 | 2007 | Architecture design of fine grain SNR scalable encoder with CABAC for H.264/AVC scalable extension | Chen, Y.-J.; Chen, Y.-H.; Chuang, T.-D.; Li, C.-T.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation | 3 | 0 | |
213 | 2007 | Symmetric trinocular dense disparity estimation for car surrounding camera array. | Tsai, Yi-Min; Chang, Yu-Lin; Chen, Liang-Gee; LIANG-GEE CHEN | Visual Communications and Image Processing 2007, VCIP 2007, San Jose, CA, United States, 28 January - 1 February 2007 | 0 | 0 | |
214 | 2007 | Fast prediction algorithm of adaptive GOP structure for SVC. | Chen, Yi-Hau; Lin, Chia-Hua; Chen, Ching-Yeh; Chen, Liang-Gee; LIANG-GEE CHEN | Visual Communications and Image Processing 2007, VCIP 2007, San Jose, CA, United States, 28 January - 1 February 2007 | 0 | 0 | |
215 | 2007 | Fast luminance and chrominance correction based on motion compensated linear regression for multi-view video coding. | Chen, Wei-Yin; Ding, Li-Fu; Chen, Liang-Gee; LIANG-GEE CHEN | Visual Communications and Image Processing 2007, VCIP 2007, San Jose, CA, United States, 28 January - 1 February 2007 | 0 | 0 | |
216 | 2007 | Symmetric trinocular dense disparity estimation for car surrounding camera array | Tsai, Y.-M.; Chang, Y.-L.; Chen, L.-G.; LIANG-GEE CHEN | Proceedings of SPIE - The International Society for Optical Engineering | |||
217 | 2006 | Low power programmable shader with efficient graphics and video acceleration capabilities for mobile multimedia applications | Tsao, Y.-M.; SHAO-YI CHIEN ; Chang, C.-H.; Lian, C.-J.; LIANG-GEE CHEN | Digest of Technical Papers - IEEE International Conference on Consumer Electronics | 4 | 0 | |
218 | 2006 | Power-scalable algorithm and reconfigurable macro-block pipelining architecture of H.264 Encoder for mobile application | Chen, Y.-H.; Chen, T.-C.; Chen, L.-G.; LIANG-GEE CHEN | 2006 IEEE International Conference on Multimedia and Expo, ICME 2006 | 9 | 0 | |
219 | 2006 | 720×480 30fps efficient prediction core chip for stereo video hybrid coding system | Ding, L.-F.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | 2005 IEEE Asian Solid-State Circuits Conference | 1 | 0 | |
220 | 2006 | Relative depth layer extraction for monoscopic video by use of multidimensional filter | Chang, J.-Y.; Cheng, C.-C.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | IEEE International Conference on Multimedia and Expo, ICME 2006 | 16 | 0 | |
221 | 2006 | System analysis of VLSI architecture for 5/3 and 1/3 motion-compensated temporal filtering | Chen, C.-Y.; Huang, C.-T.; Chen, Y.-H.; LIANG-GEE CHEN ; SHAO-YI CHIEN | IEEE Transactions on Signal Processing | 6 | 6 | |
222 | 2006 | Level C+ data reuse scheme for motion estimation with corresponding coding orders | Chen, C.-Y.; Huang, C.-T.; Chen, Y.-H.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 108 | 86 | |
223 | 2006 | Multimedia IP development image and video codecs | Chen, L.-G.; Lian, C.-J.; Chen, C.-Y.; Chen, T.-C.; LIANG-GEE CHEN | Essential Issues in SOC Design: Designing Complex Systems-on-Chip | 1 | 0 | |
224 | 2006 | Area efficient architecture for the embedded block coding in JPEG 2000 | Chang, Y.-W.; Fang, H.-C.; Chen, C.-C.; Chen, L.-G.; LIANG-GEE CHEN | 2005 IEEE Asian Solid-State Circuits Conference, ASSCC 2005 | 1 | 0 | |
225 | 2006 | Scalable rate-distortion-computation hardware accelerator for MCTF and ME | Chen, Y.-H.; Chen, C.-Y.; Cheng, C.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Multimedia and Expo, ICME 2006 | 0 | 0 | |
226 | 2006 | Platform-based MPEG-4 SOC design for video communications | Chang, Y.-C.; Chao, W.-M.; Hsu, C.-W.; Chen, L.-G.; LIANG-GEE CHEN | Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology | 0 | 2 | |
227 | 2006 | Survey on block matching motion estimation algorithms and architectures with new results | Huang, Y.-W.; Chen, C.-Y.; Tsai, C.-H.; Shen, C.-F.; Chen, L.-G.; LIANG-GEE CHEN | Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology | 124 | 97 | |
228 | 2006 | Hardware architecture design of an H.264/AVC video codec | Chen, T.-C.; Lian Jr.; C.; Chen, L.-G.; LIANG-GEE CHEN | Asia and South Pacific Design Automation Conference, ASP-DAC | |||
229 | 2006 | Analysis of scalable architecture for the embedded block coding in JPEG 2000 | Chen, C.-C.; Chang, Y.-W.; Fang, H.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
230 | 2006 | Low power and power aware fractional motion estimation of H.264/AVC for mobile applications | Chen, T.-C.; Chen, Y.-H.; Tsai, C.-Y.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
231 | 2006 | Frame-level data reuse for motion-compensated temporal filtering | Chen, C.-Y.; Chen, Y.-H.; Cheng, C.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
232 | 2006 | Algorithm analysis and architecture design for HDTV applications | Chen, T.-C.; Fang, H.-C.; Lian, C.-J.; Tsai, C.-H.; Huang, Y.-W.; Chen, T.-W.; Chen, C.-Y.; Chen, Y.-H.; Tsai, C.-Y.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Circuits and Devices Magazine | 12 | 11 | |
233 | 2006 | Algorithm and architecture co-design of low power H.264 baseline profile encoder for mobile applications | Chen, Y.-H.; Chen, T.-C.; Tsai, C.-Y.; Tsai, S.-F.; Chen, L.-G.; LIANG-GEE CHEN | 25th PCS Proceedings: Picture Coding Symposium 2006, PCS2006 | |||
234 | 2006 | Line buffer wordlength analysis for line-based 2-D DWT | Cheng, C.-C.; Huang, C.-T.; Chang, J.-Y.; Chen, L.-G.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | |||
235 | 2006 | Analysis and VLSI architecture of update step in motion-compensated temporal filtering | Cheng, C.-C.; Chen, C.-Y.; Chen, Y.-H.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
236 | 2006 | Design and implementation of JPEG 2000 codec with bit-plane scalable architecture | Chang, Y.-W.; Chen, C.-C.; Chen, C.-C.; Fang, H.-C.; Chen, L.-G.; LIANG-GEE CHEN | 2006 IEEE Workshop on Signal Processing Systems Design and Implementation, SIPS | 1 | 0 | |
237 | 2006 | Dances with multimedia: Embedded video codec design | Chen, L.-G.; LIANG-GEE CHEN | CASES 2006 | 0 | 0 | |
238 | 2006 | Line Buffer Wordlength Analysis for Line-Based 2-D DWT. | Cheng, Chih-Chi; Huang, Chao-Tsung; Chang, Jing-Ying; Chen, Liang-Gee; LIANG-GEE CHEN | 2006 IEEE International Conference on Acoustics Speech and Signal Processing, ICASSP 2006, Toulouse, France, May 14-19, 2006 | 0 | 0 | |
239 | 2006 | Adaptive tile depth filter for the depth buffer bandwidth minimization in the low power graphics systems. | Tsao, You-Ming; Wu, Chi-Ling; Chien, Shao-Yi; LIANG-GEE CHEN ; SHAO-YI CHIEN | International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece | 0 | 0 | |
240 | 2006 | Low power entropy coding hardware design for H.264/AVC baseline profile encoder | Tsai, C.-Y.; Chen, T.-C.; LIANG-GEE CHEN | 2006 IEEE International Conference on Multimedia and Expo, ICME 2006 - Proceedings | 18 | 0 | |
241 | 2006 | Algorithm and hardware architecture design for weighted prediction in H.264/MPEG-4 AVC | Tang, C.-S.; Tsai, C.-H.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
242 | 2006 | Architecture Design of Low Power Integer Motion Estimation for H. 264/AVC. | Chen, Tung-Chien; Chen, Yu-Han; Tsai, Sung-Fang; Chen, Liang-Gee; LIANG-GEE CHEN | 2006 IEEE International Conference on Acoustics Speech and Signal Processing, ICASSP 2006, Toulouse, France, May 14-19, 2006 | 0 | 0 | |
243 | 2006 | High-performance JPEG 2000 encoder with rate-distortion optimization | Fang, H.-C.; Chang, Y.-W.; Wang, T.-C.; Huang, C.-T.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Multimedia | 10 | 9 | |
244 | 2006 | A 5mW MPEG4 SP encoder with 2D bandwidth-sharing motion estimation for mobile applications | Lin, C.-P.; Tseng, P.-C.; Chiu, Y.-T.; Lin, S.-S.; Cheng, C.-C.; Fang, H.-C.; Chao, W.-M.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Solid-State Circuits Conference | |||
245 | 2006 | Architecture design of area-efficient SRAM-based multi-symbol arithmetic encoder in H.264/AVC | Chen, Y.-J.; Tsai, C.-H.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
246 | 2006 | Interactive content-aware video streaming system with fine granularity scalability | Chang, Y.-C.; Hsu, C.-W.; Chao, W.-M.; Chen, L.-G.; LIANG-GEE CHEN | Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology | 0 | 0 | |
247 | 2006 | Analysis of scalable architecture for the embedded block coding in JPEG 2000. | Chen, Chun-Chia; Chang, Yu-Wei; Fang, Hung-Chi; Chen, Liang-Gee; LIANG-GEE CHEN | International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece | 0 | 0 | |
248 | 2006 | Block-based vanishing line and vanishing point detection for 3D scene reconstruction | Tsai, Y.-M.; Chang, Y.-L.; LIANG-GEE CHEN | 2006 International Symposium on Intelligent Signal Processing and Communications, ISPACS'06 | 65 | 0 | |
249 | 2006 | Design and Implementation Of Word-Level Embedded Block Coding Architecture in JPEG 2000 Decoder. | Chang, Yu-Wei; Fang, Hung-Chi; Chen, Chun-Chia; Chen, Liang-Gee; LIANG-GEE CHEN | 2006 IEEE International Conference on Acoustics Speech and Signal Processing, ICASSP 2006, Toulouse, France, May 14-19, 2006 | 0 | 0 | |
250 | 2006 | Architecture design of low power integer motion estimation for H.264/AVC | Chen, T.-C.; Chen, Y.-H.; Tsai, S.-F.; Chen, L.-G.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | |||
251 | 2006 | Algorithm and hardware architecture design for weighted prediction in H.264/MPEG-4 AVC. | Tang, Chi-Sun; Tsai, Chen-Han; Chien, Shao-Yi; LIANG-GEE CHEN ; SHAO-YI CHIEN | International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece | 0 | 0 | |
252 | 2006 | Architecture design of area-efficient SRAM-based multi-symbol arithmetic encoder in H.264/AVC. | Chen, Yu-Jen; Tsai, Chen-Han; Chen, Liang-Gee; LIANG-GEE CHEN | International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece | 0 | 0 | |
253 | 2005 | Bandwidth optimized motion compensation hardware design for H.264/AVC HDTV decoder | Tsai, Chuan-Yung; Chen, Tung-Chien; Chen, To-Wei; LIANG-GEE CHEN | 48th Midwest Symposium on Circuits and Systems, 2005 | 44 | 0 | |
254 | 2005 | Nearly lossless content-dependent low-power DCT design for mobile video applications | Lin, C.-P.; Tseng, P.-C.; LIANG-GEE CHEN | IEEE International Conference on Multimedia and Expo, ICME 2005 | 5 | 0 | |
255 | 2005 | Video de-interlacing by adaptive 4-field global/local motion compensated approach | Chang, Y.-L.; Lin, S.-F.; Chen, C.-Y.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 66 | 55 | |
256 | 2005 | VLSI architecture for fifting-based shape-adaptive discrete wavelet transform with odd-symmetric filters | Huang, C.-T.; Tseng, P.-C.; LIANG-GEE CHEN | Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology | 4 | 3 | |
257 | 2005 | Advances in hardware architectures for image and video coding - A survey | Tseng, P.-C.; Chang, Y.-C.; Huang, Y.-W.; Fang, H.-C.; Huang, C.-T.; Chen, L.-G.; LIANG-GEE CHEN | Proceedings of the IEEE | 45 | 37 | |
258 | 2005 | Fast filterbanks for the low power MPEG high efficiency advanced audio coding decoder | Huang, S.-W.; Tsai, T.-H.; Chen, L.-G.; LIANG-GEE CHEN | Audio Engineering Society - 118th Convention Spring Preprints 2005 | |||
259 | 2005 | A 1.3TOPS H.264/AVC single-chip encoder for HDTV applications | Huang, Y.-W.; LIANG-GEE CHEN et al. | IEEE International Solid-State Circuits Conference | |||
260 | 2005 | An efficient embedded bitstream parsing processor for MPEG-4 video decoding system | Chang, Yung-Chi; Huang, Chao-Chih; Chao, Wei-Min; LIANG-GEE CHEN | Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology | 3 | 2 | |
261 | 2005 | Feature-based error concealment for object-based video | Lee, P.-J.; Chen, H.H.; Wang, W.-J.; Chen, L.-G.; LIANG-GEE CHEN ; HOMER H. CHEN | IEICE Transactions on Communications | 3 | 3 | |
262 | 2005 | Hardware architecture design of video compression for multimedia communication systems | Chen, Liang-Gee; Chen, Homer H.; Chen, Ching-Yeh; Huang, Yu-Wen; LIANG-GEE CHEN ; Chien, Shao-Yi | IEEE Communications Magazine | 40 | 30 | |
263 | 2005 | Reconfigurable discrete wavelet transform processor for heterogeneous reconfigurable multimedia systems | Tseng, P.-C.; Huang, C.-T.; Chen, L.-G.; LIANG-GEE CHEN | Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology | 9 | 6 | |
264 | 2005 | Dual-block-pipelined VLSI architecture of entropy coding for H.264/AVC baseline profile | Chen, T.-C.; Huang, Y.-W.; Tsai, C.-Y.; Hsieh, B.-Y.; Chen, L.-G.; LIANG-GEE CHEN | 2005 IEEE VLSI-TSA International Symposium on VLSI Design, Automation and Test, VLSI-TSA-DAT | 33 | 0 | |
265 | 2005 | Hybrid-mode embedded compression for H.264/AVC video coding system | Chen, T.-C.; Chen, Y.-H.; Wu, K.-C.; Chen, L.-G.; LIANG-GEE CHEN | 2005 International Symposium on Intelligent Signal Processing and Communication Systems, ISPACS 2005 | |||
266 | 2005 | Analysis, fast algorithm, and VLSI architecture design for H.264/AVC intra frame coder | Huang, Y.-W.; Hsieh, B.-Y.; Chen, T.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 268 | 186 | |
267 | 2005 | Fast decomposition of filterbanks for the state-of-the-art audio coding | Huang, S.-W.; Tsai, T.-H.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Signal Processing Letters | 8 | 6 | |
268 | 2005 | Efficient depth image based rendering with edge dependent depth filter and interpolation | Chen, W.-Y.; Chang, Y.-L.; Lin, S.-F.; Ding, L.-F.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Multimedia and Expo, ICME 2005 | 149 | 0 | |
269 | 2005 | Special issue on advances in video coding and delivery | Zhu, W.; Sun, M.-T.; Chen, L.-G.; Sikora, T.; LIANG-GEE CHEN | Proceedings of the IEEE | 2 | 0 | |
270 | 2005 | Hardware oriented content-adaptive fast algorithm for variable block-size integer motion estimation in H.264 | Chen, Y.-H.; Chen, T.-C.; Chen, L.-G.; LIANG-GEE CHEN | 2005 International Symposium on Intelligent Signal Processing and Communication Systems, ISPACS 2005 | |||
271 | 2004 | B-spline factorization-based architecture for inverse discrete wavelet transform | Huang, C.-T.; Tseng, P.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
272 | 2004 | Hardware architecture for global motion estimation for MPEG-4 advanced simple profile | Chen, C.-Y.; Chien, S.-Y.; Chao, W.-M.; Huang, Y.-W.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
273 | 2004 | MPEG-4 FGS Encoder design for an interactive content-aware MPEG-4 video streaming SOC | Chang; Y.-C.; Hsu; C.-W.; Chen; L.-G.; LIANG-GEE CHEN | 4th IEEE International Workshop on System-on-Chip for Real-Time Applications, IWSOC 2004 | |||
274 | 2004 | Hardware architecture design for H.264/AVC intra frame coder | Huang, Y.-W.; Hsieh, B.-Y.; Chen, T.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
275 | 2004 | Architecture and analysis of color structure and scalable color descriptor for real-time video indexing and retrieval | Chang, J.-Y.; Lian, C.-J.; Chen, L.-G.; LIANG-GEE CHEN | 2004 IEEE International Symposium on Consumer Electronics | |||
276 | 2004 | Extended intelligent EDGE-based line average with its implementation and test method | Chang, Y.-L.; Lin, S.-F.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
277 | 2004 | Architecture and analysis of color structure descriptor for real-time video indexing and retrieval | Chang, J.-Y.; Lian, C.-J.; Fang, H.-C.; Chen, L.-G.; LIANG-GEE CHEN | Lecture Notes in Computer Science | |||
278 | 2004 | Analysis and design of macroblock pipelining for H.264/AVC VLSI architecture | Chen, T.-C.; Huang, Y.-W.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
279 | 2004 | Hardware architecture design for visual processing: Present and future | Tseng, P.-C.; Chen, L.-G.; LIANG-GEE CHEN | 2004 IEEE Asia-Pacific Conference on Advanced System Integrated Circuits | |||
280 | 2004 | LSI design for MPEG-4 coding system | Chang, Y.-C.; Chao, W.-M.; Chen, L.-G.; LIANG-GEE CHEN | Midwest Symposium on Circuits and Systems | |||
281 | 2004 | A low complexity design of psycho-acoustic model for MPEG-2/4 advanced audio coding | Huang, S.-W.; Tsai, T.-H.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Consumer Electronics | 7 | 6 | |
282 | 2004 | Multi-mode content-aware motion estimation algorithm for power-aware video coding systems | Lin, S.-S.; Tseng, P.-C.; Lin, C.-P.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation | 14 | 0 | |
283 | 2004 | Reconfigurable discrete cosine transform processor for object-based video signal processing | Tseng, P.-C.; Haung, C.-T.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
284 | 2004 | A new error concealment algorithm for H.264 video transmission | Lee, P.-J.; Chen, H.H.; Chen, L.-G.; LIANG-GEE CHEN | 2004 International Symposium on Intelligent Multimedia, Video and Speech Processing, ISIMP 2004 | |||
285 | 2004 | Parallel global elimination algorithm and architecture design for fast block matching motion estimation | Huang, Y.-W.; Tsai, C.-H.; Chen, L.-G.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | |||
286 | 2004 | Fully utilized and reusable architecture for fractional motion estimation of H.264/AVC | Chen, T.-C.; Huang, Y.-W.; Chen, L.-G.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | |||
287 | 2004 | Memory analysis and architecture for two-dimensional discrete wavelet transform | Huang, C.-T.; Tseng, P.-C.; Chen, L.-G.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | |||
288 | 2004 | MPEG-4 FGS Encoder Design for an Interactive Content-aware MPEG-4 Video Streaming SOC. | Chang, Yung-Chi; Hsu, Chih-Wei; Chen, Liang-Gee; LIANG-GEE CHEN | Proceedings of the 4th IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC'04), 19-21 July 2004, Banff, Alberta, Canada | 0 | 0 | |
289 | 2004 | Four field local motion compensated de-interlacing | Chang, Y.-L.; Wu, P.-H.; Lin, S.-F.; Chen, L.-G.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | |||
290 | 2004 | Low-power parallel tree architecture for full search block-matching motion estimation | Lin, S.-S.; Tseng, P.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
291 | 2004 | Platform-based MPEG-4 video encoder soc design | Chang, Y.-C.; Chao, W.-M.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation | |||
292 | 2004 | Architecture and Analysis of Color Structure Descriptor for Real-Time Video Indexing and Retrieval. | Chang, Jing-Ying; Lian, Chung-Jr; Fang, Hung-Chi; Chen, Liang-Gee; LIANG-GEE CHEN | Advances in Multimedia Information Processing - PCM 2004, 5th Pacific Rim Conference on Multimedia, Tokyo, Japan, November 30 - December 3, 2004, Proceedings, Part II | 5 | 0 | |
293 | 2004 | High performance two-symbol arithmetic encoder in JPEG 2000 | Chang, Y.-W.; Fang, H.-C.; Chen, L.-G.; LIANG-GEE CHEN | 2004 IEEE International Symposium on Consumer Electronics | |||
294 | 2004 | Novel pre-compression rate-distortion optimization algorithm for JPEG 2000 | Chang, Y.-W.; Fang, H.-C.; Lian, C.-J.; Chen, L.-G.; LIANG-GEE CHEN | Proceedings of SPIE - The International Society for Optical Engineering | 14 | 0 | |
295 | 2004 | 81MS/s JPEG2000 single-chip encoder with rate-distortion optimization | Fang, H.-C.; Huang, C.-T.; Chang, Y.-W.; Wang, T.-C.; Tseng, P.-C.; Lian, C.-J.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Solid-State Circuits Conference | |||
296 | 2003 | Motion compensated de-interlacing with adaptive global motion estimation and compensation | Chang, Y.-L.; Chen, C.-Y.; Lin, S.-F.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Image Processing | |||
297 | 2003 | Analysis and hardware architecture for global motion estimation in MPEG-4 advanced simple profile | Chien, S.-Y.; Chen, C.-Y.; Chao, W.-M.; Huang, Y.-W.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
298 | 2003 | Fast disparity estimation algorithm for mesh-based stereo image/video compression with two-stage hybrid approach | Chien S.-Y., Yu S.-H., Ding L.-F., Huang Y.-N., Chen L.-G.; Yu, S.-H.; Ding, L.-F.; Huang, Y.-N.; LIANG-GEE CHEN ; SHAO-YI CHIEN ; SHU-HAN YU | Proceedings of SPIE - The International Society for Optical Engineering | 3 | 0 | |
299 | 2003 | Design of a low power psycho-acoustic model co-processor for MPEG-2/4 AAC LC stereo encoder | Tsai, T.-H.; Huang, S.-W.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
300 | 2003 | VLSI architecture for discrete wavelet transform based on B-spline factorization | Huang, C.-T.; Tseng, P.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation | 15 | 0 | |
301 | 2003 | Platform architecture design for MPEG-4 video coding | Chao, W.-M.; Chang, Y.-C.; Hsu, C.-W.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Image Processing | |||
302 | 2003 | Performance analysis of hardware oriented algorithm modification in H.264. | Wang, Tu-Chih; Huang, Yu-Wen; Fang, Hung-Chi; Chen, Liang-Gee; LIANG-GEE CHEN | Proceedings of the 2003 IEEE International Conference on Multimedia and Expo, ICME 2003, 6-9 July 2003, Baltimore, MD, USA | 0 | 0 | |
303 | 2003 | Hardware-efficient architecture design for zerotree coding in MPEG-4 still texture coder | Lian, C.-J.; Yang, Z.-L.; Chang, H.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences | |||
304 | 2003 | 81MS/s JPEG 2000 single-chip encoder with rate-distortion optimization | Fang, H.-C.; Huang, C.-T.; Chang, Y.-W.; Wang, T.-C.; Tseng, P.-C.; Lian, C.-J.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Solid-State Circuits Conference | |||
305 | 2003 | Efficient stereo video coding system for immersive teleconference with two-stage hybrid disparity estimation algorithm | Chien, S.-Y.; Yu, S.-H.; Ding, L.-F.; Huang, Y.-N.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Image Processing | |||
306 | 2003 | Hardware oriented rate control algorithm and implementation for realtime video coding. | Fang, Hung-Chi; Wang, Tu-Chih; Chang, Yu-Wei; Chen, Liang-Gee; LIANG-GEE CHEN | 2003 IEEE International Conference on Acoustics, Speech, and Signal Processing, ICASSP '03, Hong Kong, April 6-10, 2003 | 0 | 0 | |
307 | 2003 | Performance analysis of hardware oriented algorithm modifications in H.264. | Wang, Tu-Chih; Huang, Yu-Wen; Fang, Hung-Chi; Chen, Liang-Gee; LIANG-GEE CHEN | 2003 IEEE International Conference on Acoustics, Speech, and Signal Processing, ICASSP '03, Hong Kong, April 6-10, 2003 | 0 | 0 | |
308 | 2003 | Hardware architecture design for variable block size motion estimation in MPEG-4 AVC/JVT/ITU-T H.264 | Huang, Y.-W.; Wang, T.-C.; Hsieh, B.-Y.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
309 | 2003 | Motion adaptive de-interlacing by horizontal motion detection and enhanced ELA processing | Lin, S.-F.; Chang, Y.-L.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
310 | 2003 | Computationally controllable integer, half, and quarter-pel motion estimator for MPEG-4 advanced simple profile | Chao, W.-M.; Chen, T.-C.; Chang, Y.-C.; Hsu, C.-W.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
311 | 2003 | Analysis and architecture design of block-coding engine for EBCOT in JPEG 2000 | Lian, C.J.; Chen, K.-F.; Chen, H.-H.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 216 | 151 | |
312 | 2003 | Parallel 4×4 2D transform and inverse transform architecture for MPEG-4 AVC/H.264 | Wang, T.-C.; Huang, Y.-W.; Fang, H.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
313 | 2003 | Performance analysis of hardware oriented algorithm modifications in H.264 | Wang, T.-C.; Huang, Y.-W.; Fang, H.-C.; Chen, L.-G.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | |||
314 | 2003 | Effective hardware-oriented technique for the rate control of JPEG2000 encoding | Chang, T.-H.; Lian, C.-J.; Chen, H.-H.; Chang, J.-Y.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
315 | 2003 | Computationally controllable integer, half, and quarter-pel motion estimator for MPEG-4 Advanced Simple Profile. | Chao, Wei-Min; Chen, Tung-Chien; Chang, Yung-Chi; Hsu, Chih-Wei; Chen, Liang-Gee; LIANG-GEE CHEN | Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003 | 0 | 0 | |
316 | 2003 | Predictive line search: An efficient motion estimation algorithm for MPEG-4 encoding systems on multimedia processors | Huang, Y.-W.; Ma, S.-Y.; Shen, C.-F.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 21 | 13 | |
317 | 2003 | High speed memory efficient EBCOT architecture for JPEG2000 | Fang, H.-C.; Wang, T.-C.; Lian, C.-J.; Chang, T.-H.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
318 | 2003 | Hardware implementation of shape-adaptive discrete wavelet transform with the JPEG2000 defaulted (9,7) filter bank | Huang, C.-T.; Tseng, P.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Image Processing | |||
319 | 2003 | Fast motion estimation algorithm for H.264/MPEG-4 AVC by using multiple reference frame skipping criteria | Hsieh, B.-Y.; Huang, Y.-W.; Wang, T.-C.; Chien, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | Proceedings of SPIE - The International Society for Optical Engineering | 5 | 0 | |
320 | 2003 | Hardware-oriented optimization and block-level architecture design for MPEG-4 FGS encoder | Hsu, C.-W.; Chang, Y.-C.; Chao, W.-M.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
321 | 2003 | Hardware oriented rate control algorithm and implementation for realtime video coding | Fang, H.-C.; Wang, T.-C.; Chang, Y.-W.; Chen, L.-G.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | |||
322 | 2003 | Reconfigurable discrete wavelet transform architecture for advanced multimedia systems | Tseng, P.-C.; Huang, C.-T.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation | 9 | 0 | |
323 | 2003 | Hardware architecture design for variable block size motion estimation in MPEG-4 AVC/JVT/ITU-T H.264. | Huang, Yu-Wen; Wang, Tu-Chih; Hsieh, Bing-Yu; Chen, Liang-Gee; LIANG-GEE CHEN | Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003 | 0 | 0 | |
324 | 2003 | Parallel 4/spl times/4 2D transform and inverse transform architecture for MPEG-4 AVC/H.264. | Wang, Tu-Chih; Huang, Yu-Wen; Fang, Hung-Chi; Chen, Liang-Gee; LIANG-GEE CHEN | Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003 | 0 | 0 | |
325 | 2003 | Hardware-oriented optimization and block-level architecture design for MPEG-4 FGS encoder. | Hsu, Chih-Wei; Chang, Yung-Chi; Chao, Wei-Min; Chen, Liang-Gee; LIANG-GEE CHEN | Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003 | 0 | 0 | |
326 | 2003 | Design of a low power psycho-acoustic model co-processor for MPEG-2/4 AAC LC stereo encoder. | Tsai, Tsung-Han; Huang, Shih-Way; Chen, Liang-Gee; LIANG-GEE CHEN | Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003 | 0 | 0 | |
327 | 2003 | Motion adaptive interpolation with horizontal motion detection for deinterlacing | Lin, S.-F.; Chang, Y.-L.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Consumer Electronics | 95 | 71 | |
328 | 2002 | Automatic threshold decision of background registration technique for video segmentation | Huang, Y.-W.; Chien, S.-Y.; Hsieh, B.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | Proceedings of SPIE - The International Society for Optical Engineering | 5 | 0 | |
329 | 2002 | VLSI implementation of shape-adaptive discrete wavelet transform | Tseng, P.-C.; Huang, C.-T.; LIANG-GEE CHEN | Proceedings of SPIE - The International Society for Optical Engineering | 14 | 0 | |
330 | 2002 | Predictive watershed for image sequences segmentation | Chien, S.-Y.; Huang, Y.-W.; Ma, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | |||
331 | 2002 | An efficient architecture for two-dimensional inverse discrete wavelet transform | Wu, P.-C.; Huang, C.-T.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
332 | 2002 | An efficient and low power architecture design for motion estimation using global elimination algorithm | Huang, Y.-W.; Chien, S.-Y.; Hsieh, B.-Y.; Chen, L.-G.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | |||
333 | 2002 | Analysis of EBCOT decoding algorithm and its VLSI implementation for JPEG 2000 | Chen, H.-H.; Lian Jr.; C.; Chang, T.-H.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
334 | 2002 | A hardware accelerator for video segmentation using programmable morphology PE array | Chien, S.-Y.; Huang, Y.-W.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
335 | 2002 | An efficient architecture for two-dimensional inverse discrete wavelet transform | Wu, P.-C.; Huang, C.-T.; Chen, L.-G.; LIANG-GEE CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | 6 | 0 | |
336 | 2002 | A digital signal processor with programmable correlator array architecture for third generation wireless communication system | Chen, C.-K.; Tseng, P.-C.; Chang, Y.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing | 16 | 39 | |
337 | 2002 | Computation reduction technique for lossy JPEG2000 encoding through EBCOT tier-2 feedback processing | Chang, T.-H.; Chen, L.-L.; Lian Jr.; C.; Chen, H.-H.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Image Processing | |||
338 | 2002 | VLSI architecture design of MPEG-4 shape coding | Chang, H.-C.; Chang, Y.-C.; Wang, Y.-C.; Chao, W.-M.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 9 | 8 | |
339 | 2002 | Low-delay and error-robust wireless video transmission for video communications | Wang, T.-C.; Fang, H.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 36 | 21 | |
340 | 2002 | VLSI architecture design and implementation for TWOFISH block cipher | Lai, Y.-K.; Chen, L.-G.; Lai, J.-Y.; Parng, T.-M.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
341 | 2002 | Predictive watershed for image sequences segmentation. | Chien, Shao-Yi; Huang, Yu-Wen; Ma, Shyh-Yih; LIANG-GEE CHEN ; SHAO-YI CHIEN | Proceedings of the IEEE International Conference on Acoustics, Speech, and Signal Processing, ICASSP 2002, May 13-17 2002, Orlando, Florida, USA | 0 | 0 | |
342 | 2002 | An UVLC encoder architecture for H.26L | Wang, T.-C.; Fang, H.-C.; Chao, W.-M.; Chen, H.-H.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
343 | 2002 | A fast and high subjective quality sprite generation algorithm with frame skipping and multiple sprites techniques | Chien, S.-Y.; Chen, C.-Y.; Chao, W.-M.; Hsu, C.-W.; Huang, Y.-W.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Image Processing | |||
344 | 2002 | Efficient VLSI architectures of lifting-based discrete wavelet transform by systematic design method | Huang, C.-T.; Tseng, P.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
345 | 2002 | A novel hybrid motion estimator supporting diamond search and fast full search | Chao, W.-M.; Hsu, C.-W.; Chang, Y.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
346 | 2001 | Automatic video segmentation for MPEG-4 using predictive watershed | Chien, S.-Y.; Huang, Y.-W.; Ma, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN ; SHAO-YI CHIEN | IEEE International Conference on Multimedia and Expo | 4 | 0 | |
347 | 2001 | Analysis and architecture design of lifting based DWT and EBCOT for JPEG 2000 | Lian, C.-J.; Chen, K.-F.; Chen, H.-H.; Chen, L.-G.; LIANG-GEE CHEN | International Symposium on VLSI Technology, Systems, and Applications | 0 | 0 | |
348 | 2001 | Guest Editors' Introduction. | Chen, Liang-Gee; Hang, Hsueh-Ming; Kuroda, Ichiro; LIANG-GEE CHEN | VLSI Signal Processing | 0 | 0 | |
349 | 2001 | A novel low-power full-search block-matching motion-estimation design for H.263+ | Shen, J.-F.; Wang, T.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 47 | 0 | |
350 | 2001 | Analysis and architecture design of JPEG2000 | Chen, L.-G.; Lian, C.-J.; Chen, K.-F.; Chen, H.-H.; LIANG-GEE CHEN | IEEE International Conference on Multimedia and Expo | 2 | 0 | |
351 | 2001 | Robust error concealment algorithm for MPEG-4 with the aids of fuzzy theory | Lee, P.-J.; Chen, L.-G.; Wang, W.-J.; Chen, M.-J.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 0 | 0 | |
352 | 2001 | H.26L intra mode encoder architecture for digital camera application | Wang, T.-C.; Tseng, P.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 0 | 0 | |
353 | 2001 | Error-propagation analysis and concealment strategy for MPEG-4 video bitstream with data partitioning | Chang, Y.-C.; Huang, C.-C.; Chang, H.-C.; Fang, H.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Multimedia and Expo | 1 | 0 | |
354 | 2001 | An efficient architecture for two-dimensional discrete wavelet transform | Wu, P.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 147 | 107 | |
355 | 2001 | Design and implementation of a bitstream parsing coprocessor for MPEG-4 video system-on-chip solution | Chang, Y.-C.; Chang, H.-C.; Chen, L.-G.; LIANG-GEE CHEN | International Symposium on VLSI Technology, Systems, and Applications | 0 | 0 | |
356 | 2001 | A Cost-Effective Design for MPEG-2 Audio Decoder with Embedded RISC Core | Tsai, T.-H.; Wu, R.-J.; Chen, L.-G.; LIANG-GEE CHEN | Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology | 0 | 0 | |
357 | 2001 | A partial-result-reuse architecture and its design technique for morphological operations | Chien, S.-Y.; Ma, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | 0 | 0 | |
358 | 2001 | CDSP: An application-specific digital signal processor for third generation wireless communications | Tseng, P.-C.; Chen, C.-K.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 0 | 0 | |
359 | 2001 | An efficient test bitstream design methodology for fast visual hardware simulation | Lin, S.-F.; Ji, W.-S.; Chen, L.-G.; LIANG-GEE CHEN | 22nd Picture Coding Symposium | |||
360 | 2001 | A JPEG Decoder IP core supporting user-defined Huffman table decoding | Lian, C.-J.; Chang, H.-C.; Chen, K.-F.; Chen, L.-G.; LIANG-GEE CHEN | International Symposium on IC Technology, Systems and Applications | |||
361 | 2001 | A programmable parallel VLSI architecture for 2-D discrete wavelet transform | Chen, C.-Y.; Yang, Z.-L.; Wang, T.-C.; Chen, L.-G.; LIANG-GEE CHEN | Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology | 10 | 6 | |
362 | 2001 | An efficient architecture of binary motion estimation for MPEG-4 shape coding | Wang, Y.-C.; Chang, H.-C.; Chao, W.-M.; Chen, L.-G.; LIANG-GEE CHEN | Proceedings of SPIE - The International Society for Optical Engineering | 4 | 0 | |
363 | 2001 | CDSP: An application-specific digital signal processor for third generation wireless communications | Tseng, P.-C.; Chen, C.-K.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Consumer Electronics | 4 | 3 | |
364 | 2001 | A real-time practical video segmentation algirithm for MPEG-4 camera systems | Chien, S.-Y.; Huang, Y.-W.; Ma, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 0 | 0 | |
365 | 2000 | Embedded JPEG encoder IP core and memory efficient preprocessing architecture for scanner | Lian, C.-J.; Chen, L.-G.; Chang, H.-C.; Chang, Y.-C.; LIANG-GEE CHEN | IEEE Asia-Pacific Conference on Circuits and Systems | 0 | 0 | |
366 | 2000 | 多媒體訊號處理─子計畫二:高效率視訊編解碼演算法及架構設計 | 陳良基 | ||||
367 | 2000 | Efficient video segmentation algorithm for real-time MPEG-4 camera system | Chien, Shao-Yi; Ma, Shyh-Yih; Chen, Liang-Gee; LIANG-GEE CHEN | Proceedings of SPIE - The International Society for Optical Engineering | 0 | 0 | |
368 | 2000 | Programmable VLSI architecture for 2-D discrete wavelet transform | Chen, Chien-Yu; Yang, Zhong-Lan; Wang, Tu-Chih; Chen, Liang-Gee; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
369 | 2000 | A Low Power 8 × 8 Direct 2-D DCT Chip Design | Chang, H.-C.; Jiu, J.-Y.; Chen, L.-L.; Chen, L.-G.; LIANG-GEE CHEN | Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology | 0 | 8 | |
370 | 2000 | A novel architecture of inverse quantization and multichannel processing for mpeg-2 audio decoding | Tsai, T.-H.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing | 1 | 1 | |
371 | 1999 | Novel image compression algorithm by using LOG-EXP transform | Huang, Sheng-Chieh; Chen, Liang-Gee; Chang, Hao-Chieh; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
372 | 1999 | Efficient modeling architecture for real-time content-based arithmetic coding | Chang, Hao-Chieh; Chen, Liang-Gee; LIANG-GEE CHEN | Proceedings of SPIE - The International Society for Optical Engineering | 0 | 0 | |
373 | 1999 | System level integration methodology for MPEG-2 audio decoder with embedded RISC core | Tsai, Tsung-Han; Chen, Liang-Gee; Wu, Ren-Jr.; LIANG-GEE CHEN | International Symposium on VLSI Technology, Systems, and Applications | |||
374 | 1999 | A LOG-EXP still image compression chip design | Huang, S.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Consumer Electronics | 3 | 2 | |
375 | 1999 | Single-chip CMOS APS camera with direct frame difference output | Ma, S.-Y.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Journal of Solid-State Circuits | 63 | 53 | |
376 | 1999 | Single chip CMOS APS camera with direct frame difference output | Ma, Shyh-Yih; Chen, Liang-Gee; LIANG-GEE CHEN | Custom Integrated Circuits Conference | |||
377 | 1999 | Cost effective architecture design of inverse quantization and multichannel processing for MPEG-2 audio decoding | Tsai, Tsung-Han; Chen, Liang-Gee; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | 0 | 0 | |
378 | 1999 | Low power strategy about correlator array for CDMA baseband processor | Ku, Chung-Wei; Kuo, Fu-Yen; Chen, Chi-Kuang; Chen, Liang-Gee; LIANG-GEE CHEN | IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation | |||
379 | 1999 | Low power full-search block-matching motion estimation chip for H.263+ | Shen, Jun-Fu; Chen, Liang-Gee; Chang, Hao-Chieh; Wang, Tu-Chih; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
380 | 1999 | Cost-effective design for MPEG2 audio decoder with embedded RISC core | Tsai, Tsung-Han; Chen, Liang-Gee; Wu, Ren-Jr; LIANG-GEE CHEN | IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation | 0 | 0 | |
381 | 1999 | ─低功率可攜式多媒體助理之相關技術研究-總計畫(III) | 陳良基 | ||||
382 | 1999 | VLSI architecture design of VLC encoder for high data rate video/image coding | Chang, Hao-Chieh; Chen, Liang-Gee; Chang, Yung-Chi; Huang, Sheng-Chieh; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
383 | 1999 | LOG-EXP still image compression chip design | Huang, Sheng-Chieh; Chen, Liang-Gee; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | 0 | 0 | |
384 | 1999 | Efficient architecture for two-dimensional discrete wavelet transform | Wu, Po-Cheng; Chen, Liang-Gee; LIANG-GEE CHEN | International Symposium on VLSI Technology, Systems, and Applications | |||
385 | 1998 | VLSI implementation of the motion estimator with two-dimensional data-reuse | Lai, Y.-K.; Lai, Y.-L.; Liu, Y.-C.; LIANG-GEE CHEN | IEEE Transactions on Consumer Electronics | 5 | 5 | |
386 | 1998 | Low power 2D DCT chip design for wireless multimedia terminals | Chen, Liang-Gee; Jiu, Juing-Ying; Chang, Hao-Chieh; Lee, Yung-Pin; Ku, Chung-Wei; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
387 | 1998 | Design and implementation of low power DCT chip for portable multimedia terminals | Chen, Liang-Gee; Jiu, Juing-Ying; Chang, Hao-Chieh; LIANG-GEE CHEN | IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation | |||
388 | 1998 | Low-cost architecture design with efficient data arrangement and memory configuration for MPEG-2 audio decoder | Tsai, Tsung-Han; Chen, Liang-Gee; Huang, Sheng-Chieh; Chang, Hao-Chieh; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
389 | 1998 | Multimedia visual telephone system | Chen, L.-G.; Ku, C.-W.; LIANG-GEE CHEN | Multimedia Technology for Applications | 0 | 0 | |
390 | 1998 | VLSI implementation of visual block pattern truncation coding | Liu, Y.-C.; Lai, Y.-K.; Tsai, T.-H.; Wu, P.-C.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Consumer Electronics | 1 | 1 | |
391 | 1998 | A novel MPEG audio degrouping algorithm and its architecture design | Tsai, T.-H.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Signal Processing | |||
392 | 1998 | 寬頻遠距教學系統在醫學通識教育之應用 | 陳恆順(Heng-Shuen Chen); 陳晶瑩(Jing-Ying Chen); 郭斐然(Fei-Ran Guo); 林家青(Chia-Chin Chen); 陳良基(Liang-Gee Chen); 李明濱(Ming-Been Lee); 陳慶餘(Ching-Yu Chen); LIANG-GEE CHEN | 醫學教育 | |||
393 | 1998 | 3C整合科技與前瞻規劃國外研討會及合作洽商 | 陳良基 | ||||
394 | 1998 | A block shifting method for reduction of blocking effects in subband/wavelet image coding | Wu, P.-C.; Chen, L.-G.; Lai, Y.-K.; LIANG-GEE CHEN | IEEE Transactions on Consumer Electronics | 1 | 1 | |
395 | 1998 | Adaptive network control scheme for region-based hybrid coding algorithm | Chen, Hsu-Tung; Chen, Liang-Gee; Huang, Sheng-Chieh; Tsai, Tsung-Han; Chang, Hao-Chieh; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
396 | 1998 | A data-interlacing architecture with two-dimensional data-reuse for full-search block-matching algorithm | Lai, Y.-K.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 66 | 59 | |
397 | 1998 | Modified MPEG-2 audio decoding scheme based on it's low-cost fast algorithm and efficient data scheduling | Tsai, Tsung-Han; Chen, Liang-Gee; Chang, Hao-Chieh; Huang, Sheng-Chieh; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
398 | 1998 | Low power 2-D DCT chip design using direct 2-D algorithm | Chen, Liang-Gee; Jiu, Juing-Ying; Chang, Hao-Chieh; Lee, Yung-Pin; Ku, Chung-Wei; LIANG-GEE CHEN | Asia and South Pacific Design Automation Conference, ASP-DAC | |||
399 | 1998 | Using a region-based blurring method and bits reallocation to enhance quality on face region in very low bitrate video | Chen, Chang-Hong; Chen, Liang-Gee; Chang, Hao-Chieh; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
400 | 1998 | Simple and low-cost MPEG audio degrouping algorithm | Tsai, Tsung-Han; Chen, Liang-Gee; Wu, Ren-Jr; LIANG-GEE CHEN | International Conference on Signal Processing, ICSP | |||
401 | 1997 | A cost-effective architecture for 8 × 8 two-dimensional DCT/IDCT using direct method | Lee, Y.-P.; Chen, T.-H.; LIANG-GEE CHEN ; Chen, M.-J.; Ku, C.-W. | IEEE Transactions on Circuits and Systems for Video Technology | 80 | 0 | |
402 | 1997 | Jointly Optimal Region-Classified Adaptive Vector Quantization for Very Low Bit Rate Video Coding. | Chen, Yee-Wen; Chen, Liang-Gee; Chen, Mei-Juan; LIANG-GEE CHEN | VLSI Signal Processing | 0 | 4 | |
403 | 1997 | Low-power low-voltage direct digital frequency synthesizer | Liao, Shyuan; Chen, Liang-Gee; LIANG-GEE CHEN | International Symposium on VLSI Technology, Systems, and Applications | |||
404 | 1997 | A bit-level pipelined VLSI architecture for the running order algorithm | Chen, C.-T.; Chen, L.-G.; Hsiao, J.-H.; LIANG-GEE CHEN | IEEE Transactions on Signal Processing | 4 | 2 | |
405 | 1997 | Error Resilience for Block Loss with Overlapped Motion Compensation. | Chen, Mei-Juan; Chen, Liang-Gee; Chen, Ruei-Xi; LIANG-GEE CHEN | Proceedings 1997 International Conference on Image Processing, ICIP '97, Santa Barbara, California, USA, October 26-29, 1997 | 0 | 0 | |
406 | 1997 | An I-phone system design and implementation with a portable speech coding coprocessor | Chen, R.-X.; Chen, L.-G.; Chen, M.-J.; Tsai, T.-H.; LIANG-GEE CHEN | IEEE Transactions on Consumer Electronics | 1 | 1 | |
407 | 1997 | Jointly Optimal Region-Classified Adaptive Vector Quantization for Very Low Bit Rate Video Coding | Chen; Y.-W.; Chen; L.-G.; M.-J.; LIANG-GEE CHEN | Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology | |||
408 | 1997 | Flexible data-interlacing architecture for full-search block-matching algorithm | Lai, Yeong-Kang; Chen, Liang-Gee; Lee, Yung-Pin; LIANG-GEE CHEN | International Conference on Application-Specific Systems, Architectures and Processors | |||
409 | 1997 | Hardware efficient design of filter banks for video coding | Wu, Po-Cheng; Chen, Liang-Gee; Liu, Yuan-Chen; Lai, Yeong-Kang; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
410 | 1997 | Novel scalable architecture with memory interleaving organization for full search block-matching algorithm | Lai; Yeong-Kang; Chen; Liang-Gee; Tsai; Tsung-Han; Wu; Po-Cheng; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
411 | 1997 | System implementation of I-phone hardware by using low bit rate speech coding | Chen, Ruei-Xi; Chen, Mei-Juan; Chen, Liang-Gee; Tsai, Tsung-Han; LIANG-GEE CHEN | IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation | |||
412 | 1997 | Efficient hierarchical motion estimation algorithm based on visual pattern block segmentation | Chen, Mei-Juan; Chen, Liang-Gee; Weng, Ro-Min; Lee, Yung-Pin; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
413 | 1997 | Efficient array architecture with data-rings for 3-step hierarchical search block matching algorithm | Lai, Yeong-Kang; Chen, Liang-Gee; Shen, Jun-Fu; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
414 | 1997 | 低功率可攜式多媒體助理之相關技術研究─低功率可攜式多媒體助理之相關技術研究 I (總計畫) | 陳良基 | ||||
415 | 1997 | Error concealment of lost motion vectors with overlapped motion compensation | Chen, M.-J.; Chen, L.-G.; Weng, R.-M.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 123 | 100 | |
416 | 1997 | Error resilience for block loss with overlapped motion compensation | Chen, Mei-Juan; Chen, Liang-Gee; Chen, Ruei-Xi; LIANG-GEE CHEN | IEEE International Conference on Image Processing | |||
417 | 1997 | Flexible high-throughput VLSI architecture with 2-D data-reuse for full-search motion estimation | La, Yeong-Kang; Chen, Liang-Gee; Tsai, Tsung-Han; Wu, Po-Cheng; LIANG-GEE CHEN | IEEE International Conference on Image Processing | |||
418 | 1997 | 專用於影像/視訊傳輸之處理器架構及IC電路設計(II) | 陳良基 | ||||
419 | 1997 | Implementation strategy of MPEG-2 audio decoder and efficient multichannel architecture | Tsai, Tsung-Han; Chen, Liang-Gee; Chen, Ruei-Xi; LIANG-GEE CHEN | IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation | |||
420 | 1997 | True color video signal processing system and its real-time chip implementation | Liu, Yuan-Chen; Chen, Liang-Gee; Wu, Po-Cheng; Lai, Yeong-Kang; Tsai, Tsung-Han; Lee, Yung-Pin; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | |||
421 | 1997 | A novel MPEG-2 audio decoder with efficient data arrangement and memory configuration | Tsai, T.-H.; Chen, L.-G.; Liu, Y.-C.; LIANG-GEE CHEN | IEEE Transactions on Consumer Electronics | 10 | 0 | |
422 | 1997 | 低功率可攜式多媒體助理之相關技術研究 (I)─子計畫二:低功率視訊解碼器晶片設計 | 陳良基 | ||||
423 | 1997 | Architecture design of motion estimation for ITU-T H.263 | Ku, C.-W.; Lin, G.-S.; Chen, L.-G.; Lee, Y.-P.; LIANG-GEE CHEN | Proceedings of SPIE - The International Society for Optical Engineering | 1 | 0 | |
424 | 1997 | 數位視訊的傳輸、壓縮與系統設計(I)─子計畫四:極高壓縮比比例調整式影像編碼系統之發展與實現 | 陳良基 | ||||
425 | 1996 | Very low bit rate video coding system using adaptive region-classified vector quantization | Chen, Yee-Wen; Chen, Liang-Gee; Chen, Mei-Juan; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | |||
426 | 1996 | Three-step search motion estimation chip for MPEG-2 applications | Chiu, You-Ming; Chen, Liang-Gee; Lee, Yung-Ping; Ku, Chung-Wei; LIANG-GEE CHEN | Proceedings of SPIE - The International Society for Optical Engineering | |||
427 | 1996 | Novel video signal processor with programmable data arrangement and efficient memory configuration | Lai, Yeong-Kang; Chen, Liang-Gee; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | |||
428 | 1996 | Pseudo object-oriented very low bit-rate video coding system with cache VQ for detail compensation | Ku, Chung-Wei; Chen, Liang-Gee; Chiu, You-Ming; Lee, Yung-Pin; LIANG-GEE CHEN | IEEE International Conference on Image Processing | |||
429 | 1996 | Vlsi implementation of a selective median filter | Chen, C.-T.; Chen, L.-G.; Hsiao, J.-H.; LIANG-GEE CHEN | IEEE Transactions on Consumer Electronics | 28 | 25 | |
430 | 1996 | Novel video signal processor with reconfigurable pipelined architecture | Lai, Yeong-Kang; Chen, Liang-Gee; Chiang, Ming-Cheng; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
431 | 1996 | A multimedia video conference system: Using region base hybrid coding | Chen, H.-T.; Wu, P.-C.; Lai, Y.-K.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Consumer Electronics | 12 | 11 | |
432 | 1996 | Design strategy for three-dimensional subband filter banks | Wu, Po-Cheng; Chen, Liang-Gee; Lai, Yeong-Kang; Tsai, Tsung-Han; LIANG-GEE CHEN | IEEE International Conference on Image Processing | |||
433 | 1996 | Multimedia video conference system: using region base hybrid coding | Chen, Hsu-Tung; Chen, Liang-Gee; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | |||
434 | 1996 | 專用於影像/視訊傳輸之處理器架構及積體電路設計 | 陳良基 | ||||
435 | 1996 | Novel architecture for Lempel-Ziv-Based data compression | Chen, Chun-Te; Chen, Liang-Gee; LIANG-GEE CHEN | IEEE International Conference on Consumer Electronics | |||
436 | 1996 | Arbitrarily shaped transform of segmented motion field for a pseudo object-oriented very low bit-rate video coding system | Ku, Chung-Wei; Chiu, You-Ming; Chen, Liang-Gee; Lee, Yung-Pin; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
437 | 1996 | Efficient visual pattern block truncation coding | Chen, Liang-Gee; Liu, Yuan-Chen; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
438 | 1996 | Investigation of a visual telephone prototyping on personal computers | Ku, C.-W.; Chen, L.-G.; Chen, C.-H.; Jiu, J.-Y.; Huang, C.-T.; LIANG-GEE CHEN | IEEE Transactions on Consumer Electronics | 1 | 1 | |
439 | 1996 | New design and implementation of 8×8 2-D DCT/IDCT | Lee, Y.-P.; Chen, L.-G.; Chen, M.-J.; Ku, Ch.-W.; LIANG-GEE CHEN | IEEE Workshop on VLSI Signal Processing | |||
440 | 1996 | Self-adjusting weighted median filter for removing impulse noise in images | Chen, Chun-Te; Chen, Liang-Gee; LIANG-GEE CHEN | IEEE International Conference on Image Processing | |||
441 | 1996 | Ic design of an adaptive viterbi decoder | Chan, M.-H.; Lee, W.-T.; Lin, M.-C.; LIANG-GEE CHEN ; MAO-CHAO LIN | IEEE Transactions on Consumer Electronics | 25 | 18 | |
442 | 1996 | 高效能智慧型電腦輸出入系統之晶片設計─高效能智慧型輸出入系統之晶片設計-子計畫二:高效能視訊解碼系統 I | 陳良基 | ||||
443 | 1996 | A novel video signal processor with programmable data arrangement and efficient memory configuration | Lai, Y.-K.; Chen, L.-G.; Chen, H.-T.; Chen, M.-J.; Lee, Y.-P.; Wu, P.-C.; LIANG-GEE CHEN | IEEE Transactions on Consumer Electronics | 4 | 5 | |
444 | 1996 | 高畫質視訊處理研究(V)─高畫質電視訊號處理研究子計畫四:高品質視訊壓縮及傳輸之開發研究(V) | 陳良基 | ||||
445 | 1996 | Scalable implementation scheme for multirate FIR filters and its application in efficient design of subband filter banks | Wu, P.-C.; Chen, L.-G.; LIANG-GEE CHEN ; TZI-DAR CHIUEH | IEEE Transactions on Circuits and Systems for Video Technology | 1 | 0 | |
446 | 1996 | A 32-bit logarithmic number system processor | Huang, S.-C.; LIANG-GEE CHEN ; Chen, T.-H. | Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology | 5 | 5 | |
447 | 1995 | VLSI-based array dividers with concurrent error detection | Chen, T.-H.; Lee, Y.-P.; LIANG-GEE CHEN | International Journal of Electronics | 0 | 0 | |
448 | 1995 | 高效能智慧型電腦輸出入系統之晶片設計─高效能智慧型輸出入系統之晶片設計:子計畫二-高效能視訊輸出系統 | 陳良基 | ||||
449 | 1995 | 高畫質電視訊號處理研究(IV)─高畫質電視訊號處理研究子計畫四:高畫質影像傳輸系統設計及演算法之研究(4/5) | 陳良基 | ||||
450 | 1995 | Hardware-oriented design for weighted median filters | Chen, Chun-Te; Chen, Liang-Gee; Hsiao, Jue-Hsuan; LIANG-GEE CHEN | Asia and South Pacific Design Automation Conference, ASP-DAC | |||
451 | 1995 | Pipeline interleaving design for FIR, IIR, and FFT array processors | Chen, L.-G.; Jehng, Y.-S.; LIANG-GEE CHEN ; TZI-DAR CHIUEH | Journal of VLSI Signal Processing | 0 | 0 | |
452 | 1995 | A hardware-oriented design for weighted median filters. | Chen, Chun-Te; Chen, Liang-Gee; Hsiao, Jue-Hsuan; LIANG-GEE CHEN | Proceedings of the 1995 Conference on Asia Pacific Design Automation, Makuhari, Massa, Chiba, Japan, August 29 - September 1, 1995 | 0 | 0 | |
453 | 1995 | High Throughput CORDIC-Based Systolic Array Design for the Discrete Cosine Transform | Hsiao, J.-H.; Cher, L.-G.; Chiueh, T.-D.; LIANG-GEE CHEN ; TZI-DAR CHIUEH | IEEE Transactions on Circuits and Systems for Video Technology | 26 | 24 | |
454 | 1995 | A single-chip viterbi decoder for a binary convolutional code using an adaptive algorithm | Lee, W.-T.; Chan, M.-H.; Chen, L.-G.; LIANG-GEE CHEN ; MAO-CHAO LIN | IEEE Transactions on Consumer Electronics | 4 | 2 | |
455 | 1995 | Very low bit rate video coding system based on optical flow and region segmentation algorithms | Ku, Chung-Wei; Chen, Liang-Gee; Chiu, You-Ming; LIANG-GEE CHEN | Proceedings of SPIE - The International Society for Optical Engineering | |||
456 | 1995 | An MPEG audio decoder chip | Tsai, T.-H.; LIANG-GEE CHEN ; Chen, T.-H. | IEEE Transactions on Consumer Electronics | 16 | 16 | |
457 | 1995 | A New Block-Matching Criterion for Motion Estimation and its Implementation | Chen, M.-J.; Chen, L.-G.; Chiueh, T.-D.; LIANG-GEE CHEN ; TZI-DAR CHIUEH | IEEE Transactions on Circuits and Systems for Video Technology | 74 | 56 | |
458 | 1994 | 高畫質訊號處理研究(III)子計劃三:高畫質電視之高速影像壓縮架構設計研究 | 陳良基 | ||||
459 | 1994 | 超大型積體電路電腦輔助設計系統-子計畫四 | 陳良基 | ||||
460 | 1994 | A High Quality MC-OBTC Codec for Video Signal Processing | Chen, L.-G.; Liu, Y.-C.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems for Video Technology | 16 | 12 | |
461 | 1994 | 超大型積體電路電腦網路輔助設計系統-子計畫四: 高品質音頻訊號壓縮電路設計與開發研究 | 陳良基 | ||||
462 | 1994 | Tree-structure architecture and VLSI implementation for vector quantization algorithms | Ku, Chung-Wei; Chen, Liang-Gee; Chiueh, Tzi-Dar; Jong, Her-Ming; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
463 | 1994 | Application-specific chip design using behavioral silicon compiler | Chen, Liang-Gee; Jeng, Lih-Gwo; Lin, Dong-Jye; LIANG-GEE CHEN | Journal of the Chinese Institute of Engineers, Transactions of the Chinese Institute of Engineers, Series A/Chung-kuo Kung Ch'eng Hsuch K'an | 0 | 0 | |
464 | 1994 | Vector Quantization Using Tree-Structured Self-Organizing Feature Maps | Chiueh, T.-D.; Tang, T.-T.; LIANG-GEE CHEN ; TZI-DAR CHIUEH | IEEE Journal on Selected Areas in Communications | 15 | 9 | |
465 | 1994 | Parallel Architectures for 3-Step Hierarchical Search Block-Matching Algorithm | Jong, H.-M.; Chen, L.-G.; LIANG-GEE CHEN ; TZI-DAR CHIUEH | IEEE Transactions on Circuits and Systems for Video Technology | 115 | 96 | |
466 | 1994 | High throughput CORDIC-based systolic array design for the discrete cosine transform | Hsiao, Jue-Hsuan; Chen, Liang-Gee; Chiueh, Tzi-Dar; Chen, Chun-Te; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
467 | 1994 | 超大型積體電路電腦輔助設計系統I-1:視訊處理系統 | 陳良基 | ||||
468 | 1994 | Rate-Optimal DSP Synthesis by Pipeline and Minimum Unfolding | Jeng, L.-G.; Chen, L.-G.; LIANG-GEE CHEN | IEEE Transactions on Very Large Scale Integration (VLSI) Systems | 13 | 0 | |
469 | 1994 | Object-oriented video coding algorithm for very low bit-rate system | Chen, Liang-Gee; Chiu, You-Ming; Chiueh, Tzi-Dar; Jong, Her-Ming; LIANG-GEE CHEN | IEEE Asia-Pacific Conference on Circuits and Systems | |||
470 | 1994 | Design and VLSI implementation of real-time weighted median filters | Chen, Chun-Te; Chen, Liang-Gee; Chiueh, Tzi-Dar; Hsiao, Jue-Hsuan; LIANG-GEE CHEN | IEEE Asia-Pacific Conference on Circuits and Systems | |||
471 | 1994 | Accuracy Improvement and Cost Reduction of 3-Step Search Block Matching Algorithm for Video Coding | Jong, H.-M.; Chen, L.-G.; LIANG-GEE CHEN ; TZI-DAR CHIUEH | IEEE Transactions on Circuits and Systems for Video Technology | 61 | 54 | |
472 | 1994 | 高畫質訊號處理研究(III)子計畫三:高畫質電視之高速影像壓縮架構設計研究 | 闕志達 ; 陳良基 | ||||
473 | 1994 | Parallel architectures of 3-step search block-matching algorithm for video coding | Jong, Her-Ming; Chen, Liang-Gee; Chiueh, Tzi-Dar; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
474 | 1994 | One-Dimensional Full Search Motion Estimation Algorithm For Video Coding | Chen, M.-J.; Chiueh, T.-D.; LIANG-GEE CHEN ; TZI-DAR CHIUEH | IEEE Transactions on Circuits and Systems for Video Technology | 119 | 140 | |
475 | 1993 | 超大型積體電路電腦輔助設計系統(I)-總計畫 | 陳良基 ; 林呈祥; 楊武純; 賴飛羆 ; Lai, Fei-Pei | ||||
476 | 1993 | 超級電腦之研究發展(總計劃) | 龐台銘; 郭德盛; 顏嗣鈞 ; 陳良基 ; 雷欽隆(Lei, Chin-Laung); 賴飛羆_TTS.TITLE; Chen, Liang-Gee | ||||
477 | 1993 | 高畫質訊號處理研究子計畫六:高解析度電視之高速影像壓縮電路設計與開發研究 | 陳良基 | ||||
478 | 1993 | 一種減少狀態數腓特比解碼器的積體電路設計(II) | 陳良基 ; 林茂昭 | ||||
479 | 1993 | MPC-台北多計劃晶片(II) | Chen, Liang-Gee | ||||
480 | 1993 | 超大型積體電路電腦輔助設計系統(I)子計畫一:視訊處理系統之高階合成研究 | 陳良基 | ||||
481 | 1993 | A Real-Time Video Signal Processing Chip | Chen, L.-G.; Liu, Y.-C.; Chiueh, T.-D.; LIANG-GEE CHEN ; TZI-DAR CHIUEH | IEEE Transactions on Consumer Electronics | 9 | 10 | |
482 | 1993 | Rate-Optimal DSP Synthesis by Pipeline and Minimum Undolding. | Jeng, Lih-Gwo; Chen, Liang-Gee; LIANG-GEE CHEN | Proceedings of the Sixth International Conference on VLSI Design, VLSI Design 1993, Bombay, India, January 3-6, 1993 | 0 | 0 | |
483 | 1993 | Novel systolic array design for the Discrete Hartley Transform with high throughput rate | Hsiao, Jue-Husan; Chen, Liang-Gee; Chiueh, Tzi-Dar; Chen, Chun-Te; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
484 | 1993 | Intelligent digital filter synthesis system | Chen, L.-G.; Chao, C.-T.; LIANG-GEE CHEN | Journal of the Chinese Institute of Engineers, Transactions of the Chinese Institute of Engineers,Series A/Chung-kuo Kung Ch'eng Hsuch K'an | 0 | 0 | |
485 | 1993 | Hardware verification using symbolic state transition graphs | Chen, Pinhong; Shyu, Jyuo-Min; Chen, Liang-Gee; LIANG-GEE CHEN | IEEE International Conference on Computer Design: VLSI in Computers and Processors | |||
486 | 1993 | Concurrent Error-Detectable Butterfly Chip for Real-Time FFT Processing Through Time Redundancy | Chen, T.-H.; LIANG-GEE CHEN | IEEE Journal of Solid-State Circuits | 8 | 6 | |
487 | 1993 | An Efficient and Simple VLSI Tree Architecture for Motion Estimation Algorithms | Jehng, Y.-S.; Chen, L.-G.; LIANG-GEE CHEN ; TZI-DAR CHIUEH | IEEE Transactions on Signal Processing | 109 | 82 | |
488 | 1992 | Design and analysis of VLSI-based arithmetic arrays with error correction | Chen, T.-H.; LIANG-GEE CHEN ; Jehng, Y.-S. | International Journal of Electronics | 4 | 2 | |
489 | 1992 | Automatic synthesizer for CMOS operational amplifiers | Kuo, Chin-Yuan; Chen, Liang-Gee; Parng, Tai-Ming; LIANG-GEE CHEN | European Conference on Design Automation | |||
490 | 1992 | 高畫質訊號處理研究群體計畫子題(4):高速影像壓縮電路設計與開發研究 | 陳良基 ; 楊武純; 闕志達 ; Chiueh, Tzi-Dar | ||||
491 | 1992 | A motion estimator for low bit-rate video codec | Jehng, Y.-S.; Chen, L.-G.; LIANG-GEE CHEN ; TZI-DAR CHIUEH | IEEE Transactions on Consumer Electronics | 9 | 7 | |
492 | 1992 | DCT-based interframe coding for video codec | Jong, H.-M.; LIANG-GEE CHEN ; TZI-DAR CHIUEH | Electronics Letters | 0 | 0 | |
493 | 1992 | Language system for DSP silicon compiler | Chen, Liang-Gee; Jeng, Lih-Gwo; Tsao, Ki-Tsan; LIANG-GEE CHEN | Proceedings of the National Science Council, Republic of China, Part A: Physical Science and Engineering | |||
494 | 1992 | Rate-optimal static scheduling for recursive DSP algorithms by retiming and unfolding | Jeng, L.-G.; Chen, L.-G.; LIANG-GEE CHEN | International Journal of Electronics | 1 | 0 | |
495 | 1991 | An Efficient Parallel Motion Estimation Algorithm Image Processing for Digital | LIANG-GEE CHEN ; Chen, W.-T.; Jehng, Y.-S.; TZI-DAR CHIUEH | IEEE Transactions on Circuits and Systems for Video Technology | 34 | 53 | |
496 | 1991 | 數位訊號處理矽編譯器之研究(台大 VLSI-CAD子計畫之四) | 陳良基 | ||||
497 | 1991 | 一種減少狀態點數腓特比解碼器的積體電路設計 | 林茂昭 ; 陳良基 | ||||
498 | 1991 | ASG: Automatic schematic generator | Jehng, Y.-S.; Chen, L.-G.; Parng, T.-M.; LIANG-GEE CHEN | Integration, the VLSI Journal | 12 | 8 | |
499 | 1991 | A globally static rate optimal scheduling for recursive DSP algorithms | Jeng, Lih-Gwo; Chen, Liang-Gee; LIANG-GEE CHEN | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | |||
500 | 1991 | 台北地區多計劃晶片設計服務 | 陳良基 | ||||
501 | 1991 | Optimal module set and clock cycle selection for DSP synthesis | Chen, Liang-Gee; Jeng, Lih-Gwo; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
502 | 1991 | Predictive parallel motion estimation algorithm for digital image processing | Chen, Liang-Gee; Chen, Wai-Ting; Jehng, Yen-Shen; Chiueh, Tzi-Dar; LIANG-GEE CHEN | IEEE International Conference on Computer Design - VLSI in Computers and Processors | |||
503 | 1991 | 智慧型超大型積體電路設計自動化系統(III) | 楊武純; 陳良基 ; 賴飛羆 ; 龐台銘 | ||||
504 | 1991 | 智慧型超大型積體電路設計自動化系統(四) | 陳良基 ; 陳少傑 | ||||
505 | 1991 | 超級電腦之研究發展 | 龐台銘; 郭德盛; 顏嗣鈞 ; 陳良基 ; 雷欽隆 ; 賴飛羆 | ||||
506 | 1990 | 智慧型超大型積體電路設計自動化系統(III) | 賴飛羆 ; 陳良基 | ||||
507 | 1990 | 智慧型超大型積體電路設計自動化系統;數位訊號處理矽編譯器系統架構之建立 | 陳良基 | ||||
508 | 1989 | 智慧型超大型積體電路設計自動化系統(II) | 陳良基 ; 林呈祥; 楊武純 | ||||
509 | 1988 | Partitioned Matrix Arithmetic with High Reliability | 張莉莉((Lily Chang); 陳良基(Liang-Gee Chen); LIANG-GEE CHEN | 國防管理學報 | |||
510 | 1987 | Hierarchical functional verification for cell-based design styles | Chen, L.G.; Lee, J.Y.; Wang, J.F.; LIANG-GEE CHEN | IEE Proceedings G: Electronics Circuits and Systems | 0 | 0 | |
511 | 1987 | An Interactive Net Connectivity Check Strategy | Chen, L.G.; Huang, R.J.; Wang, J.F.; Lee, J.Y.; LIANG-GEE CHEN | IEEE Transactions on Circuits and Systems | 0 | 0 | |
512 | 1987 | HIERARCHICAL FUNCTIONAL VERIFICATION FOR CELL-BASED DESIGN STYLES. | Chen, L.G.; Lee, J.Y.; Wang, J.F.; LIANG-GEE CHEN | IEE proceedings. Part G. Electronic circuits and systems | |||
513 | 1986 | HIERARCHICAL FILTER FOR CIRCUIT LAYOUT. | Chen, L.G.; Wang, J.F.; Lee, J.Y.; Lee, H.T.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
514 | 1986 | Fast execution for circuit consistency verification | Chen, L.G.; Lee, J.Y.; Wang, J.F.; Chen, K.T.; LIANG-GEE CHEN | Integration, the VLSI Journal | 3 | 3 | |
515 | 1985 | NEW COMPARISON ALGORITHM FOR VERIFYING LOGIC INTERCONNECTION OF VLSI. | Chen, L.G.; Lee, J.Y.; Chen, K.T.; Wang, J.F.; LIANG-GEE CHEN | IEEE International Symposium on Circuits and Systems | |||
516 | 1983 | Numerical analysis of an injection laser with stripe geometry | Chen, L.G.; Chang, C.Y.; Su, Y.K.; Wu, T.S.; LIANG-GEE CHEN | Optics and Lasers in Engineering | 0 | 0 | |
517 | 1981 | Characterization of FaAs epitaxial layers by low pressure MOVPE using TEG as Ga source | Chang, C.Y.; Su, Y.K.; Lee, M.K.; Chen, L.G.; Houng, M.P.; LIANG-GEE CHEN | Journal of Crystal Growth | 36 | 36 | |
518 | 1981 | GROWTH AND PROPERTIES OF GaP/Si DEVICE BY MOCVD. | Su, Y.K.; Chang, C.Y.; Wu, T.S.; Lee, M.K.; Houng, M.P.; Chen, L.G.; LIANG-GEE CHEN | Proceedings of the Electrochemical Society |