第 1 到 508 筆結果,共 508 筆。
公開日期 | 標題 | 作者 | 來源出版物 | scopus | WOS | 全文 | |
---|---|---|---|---|---|---|---|
1 | 2024 | AMC With a BP-ANN Scheme for 5G Enhanced Mobile Broadband | Chen, Li Sheng; Chung, Wei Ho; Chen, Ing Yi; SY-YEN KUO | IEEE Access | |||
2 | 2023 | NTIRE 2023 HR NonHomogeneous Dehazing Challenge Report | Ancuti, Codruta O.; Ancuti, Cosmin; Vasluianu, Florin Alexandru; Timofte, Radu; Zhou, Han; Dong, Wei; Liu, Yangyi; Chen, Jun; Liu, Huan; Li, Liangyan; Wu, Zijun; Dong, Yubo; Li, Yuyan; Qiu, Tian; He, Yu; Lu, Yonghong; Wu, Yinwei; Jiang, Zhenxiang; Liu, Songhua; Yang, Xingyi; Jing, Yongcheng; Benjdira, Bilel; Ali, Anas M.; Koubaa, Anis; Yang, Hao Hsiang; Chen, I. Hsiang; WEI-TING CHEN; Huang, Zhi Kai; Chen, Yi Chung; Hsieh, Chia Hsuan; Chang, Hua En; Chiang, Yuan Chun; SY-YEN KUO ; Guo, Yu; Gao, Yuan; Liu, Ryan Wen; Lu, Yuxu; Qu, Jingxiang; He, Shengfeng; Ren, Wenqi; Hoang, Trung; Zhang, Haichuan; Yazdani, Amirsaeed; Monga, Vishal; Yang, Lehan; Wu, Alex Jiahao; Mai, Tiancheng; Cong, Xiaofeng; Yin, Xuemeng; Yin, Xuefei; Emad, Hazim; Abdallah, Ahmed; Yasser, Yahya; Elshahat, Dalia; Elbaz, Esraa; Li, Zhan; Kuang, Wenqing; Luo, Ziwei; Gustafsson, Fredrik K.; Zhao, Zheng; Sjölund, Jens; Schön, Thomas B.; Zhang, Zhao; Wei, Yanyan; Wang, Junhu; Zhao, Suiyi; Zheng, Huan; Guo, Jin; Sun, Yangfan; Liu, Tianli; Hao, Dejun; Jiang, Kui; Sarvaiya, Anjali; Prajapati, Kalpesh; Patra, Ratnadeep; Barik, Pragnesh; Rathod, Chaitanya; Upla, Kishor; Raja, Kiran; Ramachandra, Raghavendra; Busch, Christoph | IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops | 0 | 0 | |
3 | 2023 | TSRFormer: Transformer Based Two-stage Refinement for Single Image Shadow Removal | Chang, Hua En; Hsieh, Chia Hsuan; Yang, Hao Hsiang; Chen, I. Hsiang; Chen, Yi Chung; Chiang, Yuan Chun; Huang, Zhi Kai; WEI-TING CHEN; SY-YEN KUO | IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops | 2 | 0 | |
4 | 2023 | Boomerang: Physical-Aware Design Space Exploration Framework on RISC-V SonicBOOM Microarchitecture | Liu, Yen Fu; Hsieh, Chou Ying; SY-YEN KUO | Proceedings of the International Conference on Application-Specific Systems, Architectures and Processors | 0 | 0 | |
5 | 2023 | The Prospects of Quantum Secure Communication for Secret Sharing | Chou, Yao Hsin; Tseng, Kuo Chun; Kuo, Shu Yu; SY-YEN KUO ; Sheu, Bing | IEEE Nanotechnology Magazine | 1 | 0 | |
6 | 2023 | Certified Robustness of Quantum Classifiers Against Adversarial Examples Through Quantum Noise | Huang, Jhih Cing; TSAI-YU LIN; Yang, Chao Han Huck; Su, Cheng Fang; Yu, Chia Mu; Chen, Pin Yu; SY-YEN KUO | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing - Proceedings | 0 | 0 | |
7 | 2023 | Guest Editorial: Trustworthiness of AI/ML/DL Approaches in Industrial Internet of Things and Applications | Bhuiyan, Md Zakirul Alam; SY-YEN KUO ; Wang, Guojun | IEEE Transactions on Industrial Informatics | 1 | 1 | |
8 | 2023 | Missing Recovery: Single Image Reflection Removal Based on Auxiliary Prior Learning | WEI-TING CHEN; Chen, Kuan Yu; Chen, I. Hsiang; Fang, Hao Yu; JIAN-JIUN DING ; SY-YEN KUO | IEEE Transactions on Image Processing | 1 | 0 | |
9 | 2023 | Multidomain Object Detection Framework Using Feature Domain Knowledge Distillation | Jaw, Da Wei; Huang, Shih Chia; Lu, Zhi Hui; Fung, Benjamin C.M.; SY-YEN KUO | IEEE Transactions on Cybernetics | 0 | 0 | |
10 | 2023 | A Decentralized Frontier Queue for Improving Scalability of Breadth-First-Search on GPUs | Hsieh, Chou Ying; Cheng, Po Hsiu; CHIA-MING CHANG; SY-YEN KUO | Proceedings -Design, Automation and Test in Europe, DATE | 0 | 0 | |
11 | 2023 | Semantic Guidance Learning for High-Resolution Non-homogeneous Dehazing | Yang, Hao Hsiang; Chen, I. Hsiang; Hsieh, Chia Hsuan; Chang, Hua En; Chiang, Yuan Chun; Chen, Yi Chung; Huang, Zhi Kai; WEI-TING CHEN; SY-YEN KUO | IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops | 2 | 0 | |
12 | 2023 | Quantum Computer-Aided Design Automation | Kuo, Shu Yu; Jiang, Yu Chi; Chou, Yao Hsin; SY-YEN KUO ; Kung, Sun Yuan | IEEE Nanotechnology Magazine | 0 | 1 | |
13 | 2022 | Repetition with Learning Approaches in Massive Machine Type Communications | Chen, Li Sheng; Ho, Chih Hsiang; CHENG-CHANG CHEN; Liang, Yu Shan; SY-YEN KUO | Electronics (Switzerland) | 1 | 1 | |
14 | 2022 | A Novel Hypercube-Based Heuristic for Quantum Boolean Circuit Synthesis | Jiang, Yu Chi; Tseng, Kuo Chun; Hua, Cheng Yen; Kuo, Shu Yu; Chou, Yao Hsin; SY-YEN KUO | IEEE Journal on Emerging and Selected Topics in Circuits and Systems | 3 | 2 | |
15 | 2022 | SJDL-Vehicle: Semi-supervised Joint Defogging Learning for Foggy Vehicle Re-identification | WEI-TING CHEN; Chen, I. Hsiang; Yeh, Chih Yuan; Yang, Hao Hsiang; JIAN-JIUN DING ; SY-YEN KUO | Proceedings of the 36th AAAI Conference on Artificial Intelligence, AAAI 2022 | 4 | ||
16 | 2022 | Semi-supervised Trojan Nets Classification Using Anomaly Detection Based on SCOAP Features | Lo, Pei Yu; Chen, Chi Wei; Hsu, Wei Ting; CHIH-WEI CHEN; Tien, Chin Wei; SY-YEN KUO | Proceedings - IEEE International Symposium on Circuits and Systems | 0 | 0 | |
17 | 2022 | SINGLE IMAGE REFLECTION REMOVAL BASED ON BI-CHANNELS PRIOR | WEI-TING CHEN; Chen, Yi Wen; Chen, Kuan Yu; JIAN-JIUN DING ; SY-YEN KUO | Proceedings - International Conference on Image Processing, ICIP | 1 | 0 | |
18 | 2022 | NTIRE 2022 Spectral Recovery Challenge and Data Set | Arad, Boaz; Timofte, Radu; Yahel, Rony; Morag, Nimrod; Bernat, Amir; Cai, Yuanhao; Lin, Jing; Lin, Zudi; Wang, Haoqian; Zhang, Yulun; Pfister, Hanspeter; Van Gool, Luc; Liu, Shuai; Li, Yongqiang; Feng, Chaoyu; Lei, Lei; Li, Jiaojiao; Du, Songcheng; Wu, Chaoxiong; Leng, Yihong; Song, Rui; Zhang, Mingwei; Song, Chongxing; Zhao, Shuyi; Lang, Zhiqiang; Wei, Wei; Zhang, Lei; Dian, Renwei; Shan, Tianci; Guo, Anjing; Feng, Chengguo; Liu, Jinyang; Agarla, Mirko; Bianco, Simone; Buzzelli, Marco; Celona, Luigi; Schettini, Raimondo; He, Jiang; Xiao, Yi; Xiao, Jiajun; Yuan, Qiangqiang; Li, Jie; Zhang, Liangpei; Kwon, Taesung; Ryu, Dohoon; Bae, Hyokyoung; Yang, Hao Hsiang; Chang, Hua En; Huang, Zhi Kai; WEI-TING CHEN; SY-YEN KUO ; Chen, Junyu; Li, Haiwei; Liu, Song; Sabarinathan, Sabarinathan; Uma, K.; Bama, B. Sathya; Roomi, S. Mohamed Mansoor | IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops | 33 | 0 | |
19 | 2022 | RVSL: Robust Vehicle Similarity Learning in Real Hazy Scenes Based on Semi-supervised Learning | WEI-TING CHEN; Chen, I. Hsiang; Yeh, Chih Yuan; Yang, Hao Hsiang; Chang, Hua En; JIAN-JIUN DING ; SY-YEN KUO | Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) | 1 | 0 | |
20 | 2022 | A Hardware Trojan Insertion Framework against Gate-Level Netlist Structural Feature-based and SCOAP-based Detection | Chen, Chi Wei; Lo, Pei Yu; Hsu, Wei Ting; CHIH-WEI CHEN; Tien, Chin Wei; SY-YEN KUO | Midwest Symposium on Circuits and Systems | 0 | 0 | |
21 | 2022 | Learning Multiple Adverse Weather Removal via Two-stage Knowledge Learning and Multi-contrastive Regularization: Toward a Unified Model | WEI-TING CHEN; Huang, Zhi Kai; Tsai, Cheng Che; Yang, Hao Hsiang; JIAN-JIUN DING ; SY-YEN KUO | Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition | 20 | 0 | |
22 | 2022 | In-Memory Computing Architecture for a Convolutional Neural Network Based on Spin Orbit Torque MRAM | Huang J.-Y; Syu J.-L; Tsou Y.-T; SY-YEN KUO ; Chang C.-R. | Electronics (Switzerland) | 1 | 1 | |
23 | 2021 | LRG-Net: Lightweight Residual Grid Network for Modeling Electrical Induction Motor Dynamics | Yang H.-H; Huang K.-C; Chen W.-T; Kuo S.-Y.; SY-YEN KUO | European Signal Processing Conference | 2 | 0 | |
24 | 2021 | A Weighted Portfolio Optimization Model Based on the Trend Ratio, Emotion Index, and ANGQTS | Chou Y; Jiang Y; Hsu Y; SY-YEN KUO | IEEE Transactions on Emerging Topics in Computational Intelligence | 7 | 5 | |
25 | 2021 | Desnowgan: An efficient single image snow removal framework using cross-resolution lateral connection and gans | Jaw D.-W; Huang S.-C; Kuo S.-Y.; SY-YEN KUO | IEEE Transactions on Circuits and Systems for Video Technology | 28 | 23 | |
26 | 2021 | Message from the General Chairs | SY-YEN KUO ; Huang Y. | Proceedings - 51st Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2021 | 0 | 0 | |
27 | 2021 | Image Dehazing in Disproportionate Haze Distributions | Huang S.-C; Jaw D.-W; Li W; Lu Z; Kuo S.-Y; Fung B.C.M; Chen B.-H; SY-YEN KUO | IEEE Access | 0 | 1 | |
28 | 2021 | NTIRE 2021 depth guided image relighting challenge | El Helou M; Zhou R; Susstrunk S; Timofte R; Suin M; Rajagopalan A.N; Wang Y; Lu T; Zhang Y; Wu Y; Yang H.-H; Chen W.-T; SY-YEN KUO ; Luo H.-L; Zhang Z; Luo Z; He J; Zhu Z.-L; Li Z; Qiu J.-X; Kuang Z.-S; Lu C.-Z; Cheng M.-M; Shao X.-L; Li C; DIng B; Qian W; Li F; Li F; Deng R; Lin T; Liu S; Li X; He D; Yazdani A; Guo T; Monga V; Nsampi N.E; Hu Z; Wang Q; Nathan S; Kansal P; Zhao T; Zhao S. | IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops | 26 | 0 | |
29 | 2021 | An efficient single image enhancement approach using luminance perception transformation | Huang S.-C; Jaw D.-W; Chen B.-H; Kuo S.-Y.; SY-YEN KUO | IEEE Transactions on Emerging Topics in Computing | 5 | 1 | |
30 | 2021 | DesmokeNet: A Two-stage Smoke Removal Pipeline Based on Self-Attentive Feature Consensus and Multi-Level Contrastive Regularization | Chen W; Lou H; Fang H; Chen I; Chen Y; JIAN-JIUN DING ; SY-YEN KUO | IEEE Transactions on Circuits and Systems for Video Technology | 6 | 3 | |
31 | 2021 | S3Net: A single stream structure for depth guided image relighting | Yang H.-H; Chen W.-T; Kuo S.-Y.; SY-YEN KUO | IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops | 9 | 0 | |
32 | 2021 | All Characteristics Preservation: Single Image Dehazing based on Hierarchical Detail Reconstruction Wavelet Decomposition Network | Chen W.-T; Fang H.-Y; Tsai C.-C; JIAN-JIUN DING ; SY-YEN KUO | IEEE International Conference on Intelligent Robots and Systems | 0 | 0 | |
33 | 2021 | Multi-modal bifurcated network for depth guided image relighting | Yang H.-H; Chen W.-T; Luo H.-L; Kuo S.-Y.; SY-YEN KUO | IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops | 8 | 0 | |
34 | 2020 | PMHLD: Patch Map-Based Hybrid Learning DehazeNet for Single Image Haze Removal | Chen, W.-T.; Fang, H.-Y.; Ding, J.-J.; JIAN-JIUN DING ; SY-YEN KUO | IEEE Transactions on Image Processing | 56 | 44 | |
35 | 2020 | Benchmarking Dynamic Searchable Symmetric Encryption Scheme for Cloud-Internet of Things Applications | Ti, Y.-W.; Wu, C.-F.; Yu, C.-M.; Kuo, S.-Y.; SY-YEN KUO | IEEE Access | 4 | 2 | |
36 | 2020 | Evaluating Robustness of AI Models against Adversarial Attacks | Chang, C.-L.; Hung, J.-L.; Tien, C.-W.; Tien, C.-W.; Kuo, S.-Y.; SY-YEN KUO | SPAI 2020 - Proceedings of the 1st ACM Workshop on Security and Privacy on Artificial Intelligent, Co-located with AsiaCCS 2020 | 6 | 0 | |
37 | 2020 | Machine Learning Framework to Analyze IoT Malware Using ELF and Opcode Features | Tien C.-W; Chen S.-W; Ban T; SY-YEN KUO | Digital Threats: Research and Practice | 26 | 0 | |
38 | 2020 | DPARM: Differentially Private Association Rules Mining | Tsou Y.-T; Zhen H; Jiang X; Huang Y; Kuo S.-Y.; SY-YEN KUO | IEEE Access | 4 | 2 | |
39 | 2020 | Association Rule Mining with Differential Privacy | Zhen H; Chiou B.-C; Tsou Y.-T; Kuo S.-Y; Wang P.-C.; SY-YEN KUO | Proceedings - 50th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN-W 2020 | 2 | 0 | |
40 | 2020 | STT-DPSA: Digital PUF-based secure authentication using STT-MRAM for the internet of things | Chien, W.-C.; Chang, Y.-C.; Tsou, Y.-T.; Kuo, S.-Y.; Chang, C.-R.; SY-YEN KUO | Micromachines | 6 | 7 | |
41 | 2020 | Guest Editorial: Trustworthiness in Industrial Internet of Things Systems and Applications | Bhuiyan, M.D.Z.A.; Kuo, S.-Y.; Cao, J.; Wang, G.; SY-YEN KUO | IEEE Transactions on Industrial Informatics | 7 | 7 | |
42 | 2020 | SPARR: Spintronics-based private aggregatable randomized response for crowdsourced data collection and analysis | Tsou, Y.-T.; Zhen, H.; Kuo, S.-Y.; Chang, C.-R.; Fukushima, A.; Rong, B.-D.; SY-YEN KUO | Computer Communications | 4 | 2 | |
43 | 2020 | JSTASR: Joint Size and Transparency-Aware Snow Removal Algorithm Based on Modified Partial Convolution and Veiling Effect Removal | Chen W.-T; Fang H.-Y; Ding J.-J; Tsai C.-C; Kuo S.-Y.; SY-YEN KUO | Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) | 40 | 0 | |
44 | 2020 | Single image snow removal using sparse representation and particle swarm optimizer | Huang, S.-C.; Jaw, D.-W.; Chen, B.-H.; Kuo, S.-Y.; SY-YEN KUO | ACM Transactions on Intelligent Systems and Technology | 12 | 8 | |
45 | 2020 | Clock-Aware Placement for Large-Scale Heterogeneous FPGAs | Chen, J.; Lin, Z.; Kuo, Y.; Huang, C.; Chang, Y.; Chen, S.; Chiang, C.; SY-YEN KUO ; YAO-WEN CHANG | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 14 | 11 | |
46 | 2019 | A Grey Relational Analysis-Based Motion Detection Algorithm for Real-World Surveillance Sensor Deployment | S. C. Huang; H. Liu; B. H. Chen; Z. Fang; T. H. Tan; S. Y. Kuo; SY-YEN KUO | IEEE Sensors Journal | 14 | 10 | |
47 | 2019 | Path Controllability Analysis for High Quality Designs | L. J. Chen; H. Z. Chou; K. H. Chang; S. Y. Kuo; C. Huang; SY-YEN KUO | 24th Asia and South Pacific Design Automation Conference (ASP-DAC 2019) | 0 | 0 | |
48 | 2019 | PMS-Net: Robust Haze Removal Based on Patch Map for Singe Images | W. T. Chen; J. J. Ding; S. Y. Kuo; C. Huang; SY-YEN KUO | 30th annual Computer Vision and Pattern Recognition Conference (CVPR-2019) | |||
49 | 2019 | NV-DNN: Towards fault-tolerant DNN systems with N-version programming | Xu, H.; Chen, Z.; Wu, W.; Jin, Z.; Kuo, S.-Y.; Lyu, M.; SY-YEN KUO | Proceedings - 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshop, DSN-W 2019 | 16 | 0 | |
50 | 2019 | Benchmarking Dynamic Searchable Symmetric Encryption with Search Pattern Hiding | Wu, C.-F.; Ti, Y.-W.; Kuo, S.-Y.; Yu, C.-M.; SY-YEN KUO | Proceedings - 2019 International Conference on Intelligent Computing and Its Emerging Applications, ICEA 2019 | 5 | 0 | |
51 | 2019 | Hierarchical abnormal-node detection using fuzzy logic for ECA rule-based wireless sensor networks | Berjab, N.; Le, H.H.; Yu, C.-M.; Kuo, S.-Y.; Yokota, H.; SY-YEN KUO | Proceedings of IEEE Pacific Rim International Symposium on Dependable Computing, PRDC | 8 | 0 | |
52 | 2019 | Adaptive repetition scheme with machine learning for 3GPP NB-IoT | Chen, L.-S.; Chung, W.-H.; Chen, I.-Y.; Kuo, S.-Y.; SY-YEN KUO | Proceedings of IEEE Pacific Rim International Symposium on Dependable Computing, PRDC | 9 | 0 | |
53 | 2019 | PMS-Net: Robust haze removal based on patch map for single images | Chen, W.-T.; Ding, J.-J.; JIAN-JIUN DING ; SY-YEN KUO | Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition | 72 | 0 | |
54 | 2019 | Special issue on Internet of Things (IoT) for in-vehicle systems | Huang, S.-C.; Hwang, J.-N.; Kuo, S.-Y.; Binotto, A.P.D.; Upadhyay, D.; Hung, P.C.K.; SY-YEN KUO | Engineering Applications of Artificial Intelligence | 4 | 4 | |
55 | 2019 | Tree structure network: A learning-based deep network for classification of CPU instruction through em signal | Fang, H.-Y.; Yuan, S.-Y.; Lin, P.-Y.; Kuo, S.-Y.; SY-YEN KUO | 2019 Joint International Symposium on Electromagnetic Compatibility, Sapporo and Asia-Pacific International Symposium on Electromagnetic Compatibility, EMC Sapporo/APEMC 2019 | 2 | 0 | |
56 | 2019 | Dependability in Cyber-Physical Systems and Applications | Bhuiyan, Md Zakirul Alam; Kuo, Sy-Yen; Lyons, Damian; Shao, Zili; SY-YEN KUO | Acm Transactions on Cyber-Physical Systems | 5 | 4 | |
57 | 2019 | Efficient Reflection Removal Algorithm for Single Image by Pixel Compensation and Detail Reconstruction | Tsai, G.-C.; Chen, W.-T.; Yuan, S.-Y.; Kuo, S.-Y.; SY-YEN KUO | International Conference on Digital Signal Processing, DSP | 3 | 0 | |
58 | 2019 | Chronic wound assessment and infection detection method | Hsu, J.-T.; Chen, Y.-W.; Ho, T.-W.; HAO-CHIH TAI ; JIN-MING WU ; HSIN-YUN SUN ; CHI-SHENG HUNG ; Zeng, Y.-C.; Kuo, S.-Y.; FEI-PEI LAI ; SY-YEN KUO | BMC Medical Informatics and Decision Making | 22 | 16 | |
59 | 2019 | Data Prefetching and Eviction Mechanisms of In-Memory Storage Systems Based on Scheduling for Big Data Processing | Chen, C.-H.; Hsia, T.-Y.; Huang, Y.; Kuo, S.-Y.; SY-YEN KUO | IEEE Transactions on Parallel and Distributed Systems | 7 | 6 | |
60 | 2019 | A Gray Relational Analysis-Based Motion Detection Algorithm for Real-World Surveillance Sensor Deployment | Huang S.-C; Liu H; Chen B.-H; Fang Z; Tan T.-H; Kuo S.-Y.; SY-YEN KUO | IEEE Sensors Journal | 14 | 10 | |
61 | 2018 | Abnormal-node Detection Based on Spatio-temporal and Multivariate-attribute Correlation in Wireless Sensor Networks | N. Berjab; H. H. Le; C. M. Yu; S. Y. Kuo; H. Yokota; SY-YEN KUO | 16th IEEE International Conference on Dependable, Autonomic and Secure Computing (DASC 2018) | 6 | 0 | |
62 | 2018 | Foreword for the Industry Track | Kuo, S.-Y.; Ramasamy, H.; Swarz, B.; Wood, A.; SY-YEN KUO | Proceedings - 48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops, DSN-W 2018 | 0 | 0 | |
63 | 2018 | Color Channel-Based Smoke Removal Algorithm Using Machine Learning for Static Images | W. T. Chen; S. Y. Yuan; G. C. Tsai; H. C. Wang; S. Y. Kuo; SY-YEN KUO | IEEE International Conference on Image Processing (ICIP 2018) | 12 | 0 | |
64 | 2018 | UFO - Hidden Backdoor Discovery and Security Verification in IoT Device Firmware | C. W. Tien; T. T. Tsai; I. Y. Chen; S. Y. Kuo; SY-YEN KUO | 29th IEEE Symposium on Software Reliability Engineering (ISSRE 2018) | 14 | 0 | |
65 | 2018 | Surgical Wounds Assessment System for Self-Care | Y. W. Chen; J. T. Hsu; C. C. Hung; J. M. Wu; F. Lai; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on systems, Man, and Cybernetics: Systems | 8 | 10 | |
66 | 2018 | MapReduce Scheduling for Deadline-Constrained Jobs in Heterogeneous Cloud Computing Systems | C. H. Chen; J. W. Lin; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Cloud Computing | 42 | 28 | |
67 | 2018 | Using Tree-based Approaches to Analyze Dependability and Security on I&C Systems in Safety Critical Systems | C. S. Cho; W. H. Chung; S. Y. Kuo; SY-YEN KUO | IEEE Systems Journal | 4 | 5 | |
68 | 2018 | Removing Haze Particles from Single Image via Exponential Inference with Support Vector Data Description | L. F. Shi; B. H. Chen; S. C. Huang; A. Larin; O. Seredin; A. Copylov; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Multimedia | 32 | 8 | |
69 | 2018 | Haze Removal Using Radial Basis Function Networks for Visibility Restoration Applications | B. H. Chen; S. C. Huang; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Neural Networks and Learning Systems | 40 | 39 | |
70 | 2018 | FDD-RT: A simple CSI acquisition technique via channel reciprocity for FDD massive MIMO downlink | H. W. Liang; W. H. Chung; S. Y. Kuo; SY-YEN KUO | IEEE Systems Journal | 14 | 14 | |
71 | 2018 | VENUS: Verifiable range query in data streaming | Tsai I.-C; Yu C.-M; Yokota H; Kuo S.-Y.; SY-YEN KUO | INFOCOM 2018 - IEEE Conference on Computer Communications Workshops | 4 | 0 | |
72 | 2017 | SER: Secure and efficient retrieval for anonymous range query in wireless sensor networks | Tsou, Y.-T.; Lu, C.-S.; Kuo, S.-Y.; SY-YEN KUO | Computer Communications | 16 | 17 | |
73 | 2017 | Memory forensics using virtual machine introspection for Malware analysis | Tien, C.-W.; Liao, J.-W.; Chang, S.-C.; Kuo, S.-Y.; SY-YEN KUO | 2017 IEEE Conference on Dependable and Secure Computing | 21 | 0 | |
74 | 2017 | MAS: Mobile-Apps Assessment and Analysis System | C. W. Tien; C. W. Tien. T. Y. Huang; T. C. Huang; W. H. Chung; S. Y. Kuo; SY-YEN KUO | 47th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN-2017) | 0 | 0 | |
75 | 2017 | Clock-Aware Placement for Large-Scale Heterogeneous FPGAs | Y. C. Kuo; C. C. Huang; S. C. Chen; C. H. Chiang; Y. W. Chang; S. Y. Kuo; SY-YEN KUO | 2017 International Conference On Computer Aided Design (ICCAD-2017) | 12 | 0 | |
76 | 2017 | Device-free Non-invasive Front-door Event Classification Algorithm for Forget Event Detection Using Binary Sensors in the Smart House | M. Gochoo; T. H. Tan; F. R. Jean; S. C. Huang; S. Y. Kuo; SY-YEN KUO | 2017 IEEE International Conference on Systems, Man, and Cybernetics (SMC-2017) | 5 | 0 | |
77 | 2017 | Outage Users First Cell Outage Compensation Algorithm for Self-Organizing Networks | C. H. Ho; L. S. Chen. W. H. Chung; S. Y. Kuo; SY-YEN KUO | 2017 International Conference on High Performance Compilation, Computing and Communications (HP3C-2017) | 0 | 0 | |
78 | 2017 | Key Management in Internet of Things via Kronecker Product | I. C. Tsai; C. M. Yu; H. Yokota; S. Y. Kuo; SY-YEN KUO | 2017 Pacific Rim International Symposium on Dependable Computing (PRDC'17) | 19 | 0 | |
79 | 2017 | Quality of Service Management for Home Networks Using Online Service Response Prediction | W. J. Hwang; T. M. Tai; Y. J. Jhang; Tung; Y. C. Tung; C. H. Ho; S. Y. Kuo; SY-YEN KUO | IEEE Internet of Things Journal | 4 | 3 | |
80 | 2017 | Front-Door Event Classification Algorithm for Elderly People Living Alone in Smart House Using Wireless Binary Sensors | T. H. Tan; M. Gochoo; F. R. Gean; S. C. Huang; S. Y. Kuo; SY-YEN KUO | IEEE Access | 25 | 22 | |
81 | 2017 | Special issue on dependability in parallel and distributed systems and applications | Bhuiyan, M.Z.A.; Kuo, S.-Y.; Wu, J.; SY-YEN KUO | Information Sciences | 2 | 2 | |
82 | 2017 | An effective legalization algorithm for mixed-cell-height standard cells | Wang, C.-H.; Wu, Y.-Y.; Chen, J.; Chang, Y.-W.; Kuo, S.-Y.; Zhu, W.; SY-YEN KUO ; YAO-WEN CHANG | Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC | 40 | 0 | |
83 | 2017 | Interfered Users Protection Algorithm for Self-Organizing Networks | C. H. Ho; L. S. Chen. W. H. Chung; S. Y. Kuo; SY-YEN KUO | 2017 9th IEEE International Conference on Communication Software and Networks (ICCSN-2017) | 0 | ||
84 | 2017 | Error-Optimized Sparse Representation for Single Image Rain Removal | B. H. Chen; S. C. Huang; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Industrial Electronics | 31 | 25 | |
85 | 2017 | Toward Fog-Based Event-Driven Services for Internet of Vehicles: Design and Evaluation | Y. L. Hu; D. Wei; S. Y. Kuo; I. Y. Chen; Yennun Huang; SY-YEN KUO | 4th International Conference on Internet of Vehicles (IOV-2017) | 4 | 0 | |
86 | 2017 | Scheduling-Aware Data Prefetching for Data Processing Services in Cloud | C. H. Chen; Y. Huang; S. Y. Kuo; SY-YEN KUO | 31st IEEE International Conference on Advanced Information Networking and Applications (AINA-2017) | 4 | 0 | |
87 | 2017 | Impact Analysis for Dos and Integrity Attacks on IoT Systems | N. Berjab; C. M. Yu; S. Y. Kuo; H. Yokota; SY-YEN KUO | 2017 7th International Conference on Information Systems and Technologies (ICIST-2017) | |||
88 | 2017 | Interfered users protection algorithm for self-organizing networks | Ho C.-H; Chen L.-S; Chung W.-H; Kuo S.-Y.; SY-YEN KUO | Journal of Communications | 1 | 0 | |
89 | 2017 | Magnetic Tunneling Junctions Based IoT Data Privacy Protection with STT-MRAM | Y. T. Tsou; H. Zhen; Y. C. Chang; S. Y. Kuo; C. R. Chang; A. Fukushima; B. D. Rong; SY-YEN KUO | 2017 IEEE International Electron Devices Meeting (IEDM-2017) | |||
90 | 2016 | Handling Nondeterminism in Logic Simulation So That Your Waveform Can Be Trusted Again | H. Z. Chou; K. H. Chang; S. Y. Kuo; SY-YEN KUO | IEEE Design & Test of Computers | 3 | 2 | |
91 | 2016 | Timing-Driven Cell Placement Optimization for Early Slack Histogram Compression | C. C. Huang; Y. C. Liu; Y. S. Lu; Y. C. Kuo; Y. W. Chang; S. Y. Kuo; SY-YEN KUO ; YAO-WEN CHANG | 53th ACM/IEEE Design Automation Conference (DAC-2016) | 9 | 0 | |
92 | 2016 | Cyberphysical Security and Dependability Analysis of Digital Control Systems in Nuclear Power Plants | C. S. Cho; W. H. Chung; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Systems, Man, and Cybernetics: Systems | 52 | 42 | |
93 | 2016 | Coding-aided K-means Clustering Blind Transceiver for Space Shift Keying MIMO Systems | H. W. Liang; W. H. Chung; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Wireless Communications | 45 | 41 | |
94 | 2016 | Compressed Sensing-Based Clone Identification in Sensor Networks | C. M. Yu; C. S. Lu; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Wireless Communications | 17 | 12 | |
95 | 2016 | Integrated heterogeneous infrastructure for indoor positioning | Ma, Y.-W.; Chen, J.-L.; Tsai, Y.-H.; Chou, P.-C.; Lu, S.-K.; Kuo, S.-Y.; SY-YEN KUO | Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) | 0 | 0 | |
96 | 2016 | Welcome Message from the PRDC 2015 General Co-Chairs | Wang, G.; Kuo, S.Y.; SY-YEN KUO | Proceedings - 2015 IEEE 21st Pacific Rim International Symposium on Dependable Computing, PRDC 2015 | 0 | 0 | |
97 | 2016 | Moving Object Counting Using a Tripwire in H.265/HEVC Bitstreams for Video Surveillance | Chen, Y.-W.; Chen, K.; Yuan, S.-Y.; Kuo, S.-Y.; SY-YEN KUO | IEEE Access | 8 | 8 | |
98 | 2016 | Improved Global Motion Estimation via Motion Vector Clustering for Video Stabilization | B. H. Chen; A. Kopylov; S. C. Huang; O. Seredin; R. Karpov; S. Y. Kuo; K. R. Lai; T. H. Tan; M. Gochoo; D. Bayanduuren; C. S. Gong; P. C. K. Hung; SY-YEN KUO | Engineering Applications of Artificial Intelligence | 19 | 17 | |
99 | 2016 | Coverage hole detection in cellular networks with deterministic propagation model | Liang, H.-W.; Ho, C.-H.; Chen, L.-S.; Chung, W.-H.; Yuan, S.-Y.; Kuo, S.-Y.; SY-YEN KUO | Proceedings of the 2nd International Conference on Intelligent Green Building and Smart Grid, IGBSG 2016 | 4 | 0 | |
100 | 2016 | Adaptive power control for mutual interference avoidance in industrial internet-of-things | Zheng, T.; Qin, Y.; Zhang, H.; Kuo, S.; SY-YEN KUO | China Communications | 7 | 7 | |
101 | 2016 | Design and application of novel morphological filter used in vehicle detection | Gochoo, M.; Bayanduuren, D.; Khuchit, U.; Battur, G.; Tan, T.-H.; Kuo, S.-Y.; Huang, S.-C.; SY-YEN KUO | 2016 IEEE/ACIS 15th International Conference on Computer and Information Science, ICIS 2016 - Proceedings | 4 | 0 | |
102 | 2015 | A Programming Framework for Implementing Fault-Tolerant Mechanism on Raspberry Pi | Y. L. Hu; Y. Huang; S. Y. Kuo; SY-YEN KUO | 15th International Conference on Algorithms and Architectures for Parallel Processing (ICA3PP-2015) | |||
103 | 2015 | On the Banded Approximation of the Channel Matrix for Mobile OFDM Systems | D. L Liu; W. H. Chung; S. Y. Yuan; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Vehicular Technology | 5 | 5 | |
104 | 2015 | Measurement and analysis of the leak tightness of reactor containment vessels: experiences and results | C. S. Cho; W. H. Chung; S. Y. Kuo; SY-YEN KUO | Nuclear Engineering and Design | 2 | 1 | |
105 | 2015 | Fault-tolerant Operations for Universal Blind Quantum Computation | C. H. Chien; R. Van Meter; S. Y. Kuo; SY-YEN KUO | ACM Journal on Emerging Technologies in Computing System | 17 | 13 | |
106 | 2015 | ICI Self-Cancellation with Cosine Windowing in OFDM transmitters Over Fast Time-Varying Channels | D. L Liu; W. H. Chung; S. Y. Yuan; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Wireless Communications | 17 | 11 | |
107 | 2015 | A Block Restriction Method Using Guided Image Filter for Local Histogram Equalization | P. H. Lin; F. C. Cheng; S. C. Huang; T. H. Tan; D. Bay; uuren; K. Tseveenjav; S. Y. Kuo; SY-YEN KUO | EEE International Conference on Consumer Electronics (IEEE ICCE) | 1 | 0 | |
108 | 2015 | An IR LED Production Yield Estimation Method for IP-Camera | P. H. Lin; F. C. Cheng; S. C. Huang; T. H. Tan; D. Bay; uuren; K. Tseveenjav; S.Y. Kuo; SY-YEN KUO | IEEE International Conference on Consumer Electronics (IEEE ICCE) | 1 | 0 | |
109 | 2015 | Locating Stuck-at Error in Quantum Boolean Circuits | T. H. Chang; C. M. Yu; W. H. Chung; S. Y. Kuo; SY-YEN KUO | 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN-2015) | |||
110 | 2015 | SFTopk: Secure functional top-k query via untrusted data storage | Tsou, Y.-T.; Hu, Y.-L.; Huang, Y.; Kuo, S.-Y.; SY-YEN KUO | IEEE Access | 5 | 5 | |
111 | 2015 | A programming framework for implementing fault-tolerant mechanism in IoT applications | Hu, Y.-L.; Cho, Y.-Y.; Su, W.-B.; Wei, D.S.L.; Huang, Y.; Chen, J.-L.; Chen, I.-Y.; Kuo, S.-Y.; SY-YEN KUO | Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) | 4 | 0 | |
112 | 2014 | PCTopk: Privacy- and Correctness-Preserving Functional Top-k Query on Un-trusted Data Storage in Two-tiered Sensor Networks | Y. T. Tsou; Y. L. Hu; Y. Huang; S. Y. Kuo; SY-YEN KUO | 33rd IEEE Symposium on Reliable Distributed Systems (SRDS 2014) | 6 | 0 | |
113 | 2014 | Quantum Blind Signature Based on Quantum Circuit | T. S. Lin; Y. Chen; T. H. Chang; C. Y. Lu; S. Y. Kuo; SY-YEN KUO | 2014 IEEE Conference on Nanotechnology (IEEE-NANO 2014) | 6 | 0 | |
114 | 2014 | A Novel Quantum Key in Distributed Networks | Y. Chen; T. S. Lin; T. H. Chang; C. Y. Lu; S. Y. Kuo; SY-YEN KUO | 2014 IEEE Conference on Nanotechnology (IEEE-NANO 2014) | 0 | 0 | |
115 | 2014 | Deadline-Constrained MapReduce Scheduling Based on Graph Modeling | C. H. Chen; J. W. Lin; S. Y. Kuo; SY-YEN KUO | 7th IEEE International Conference on Cloud Computing (CLOUD 2014) | 7 | 0 | |
116 | 2014 | Privacy-Preserving Power Request in Smart Grid Networks | C. M. Yu; C. Y. Chen; S. Y. Kuo; H. C. Chao; SY-YEN KUO | IEEE Systems Journal | 32 | 23 | |
117 | 2014 | Top-k Query Result Completeness Verification in Tiered Sensor Networks | C. M. Yu; G. K. Ni; I. Y. Chen; E. Gelenbe; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Information Forensics and Security | 43 | 36 | |
118 | 2014 | Smart and Cooperative Sensor Networks | Zhang, Hongke; Kuo, Sy-Yen; Das, Sajal K.; Gidlund, Andmikael; SY-YEN KUO | International Journal of Distributed Sensor Networks | 0 | 0 | |
119 | 2014 | Parametric representation of objects in color space using one-class classifiers | Larin, A.; Seredin, O.; Kopylov, A.; Kuo, S.-Y.; Huang, S.-C.; Chen, B.-H.; SY-YEN KUO | Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) | 8 | 0 | |
120 | 2013 | Mining Large Network Reconnaissance Data | F. Yarochkin; Y. Huang,; S. Y. Kuo; SY-YEN KUO | 2013 Pacific Rim International Symposium on Dependable Computing (PRDC'13) | 0 | 0 | |
121 | 2013 | Enhancing Data Integrity and Privacy in the Cloud: An Agenda | D. S. L. Wei; S. Murugesan; S. Y. Kuo; K. Naik; D. Krizanc; SY-YEN KUO | IEEE Computer | 10 | 5 | |
122 | 2013 | A Compiler Design Technique for Impulsive VDD Current Minimization | S. Y. Yuan; W. B. Su; G. K. Ni; T. Y. Chi; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Electromagnetic Compatibility | 2 | 1 | |
123 | 2013 | Quantum Walks for Crossed Cubes | T. S. Lin; T. H. Chang; C. H. Chien; S. A. Wang; S. Y. Kuo; SY-YEN KUO | 2013 IEEE Conference on Nanotechnology (IEEE-NANO 2013) | 1 | 0 | |
124 | 2013 | Mobile IMS Integration of the Internet of Things in Ecosystem | H. C. Hsieh; J. L. Chen; I. Y. Chen,; S. Y. Kuo; SY-YEN KUO | 2013 IEEE International Conference on Internet of Things (iThings 2013) | 2 | 0 | |
125 | 2013 | Quantum Switching and Quantum Walks | T. S. Lin; T. H. Chang; S. Y. Kuo; SY-YEN KUO | 2013 IEEE Conference on Nanotechnology (IEEE-NANO 2013) | 0 | 0 | |
126 | 2013 | Dependable Architecture of RFID Middleware on Networked RFID Systems | Y. L. Hu; W. B. Su; Y. Huang; I. Y. Chen; S. Y. Kuo; SY-YEN KUO | 2013 IEEE International Conference on Internet of Things (iThings 2013) | 0 | 0 | |
127 | 2013 | Node Detection and Message Integrity through Quantum Circuits | T. S. Lin; C. H. Chien; S. Y. Kuo; SY-YEN KUO | 2013 IEEE Conference on Nanotechnology (IEEE-NANO 2013) | 0 | 0 | |
128 | 2013 | 用於一硬體之監控裝置、監控方法及其電腦程式產品 | SY-YEN KUO ; 田謹維; 林志鴻; 吳建興; 鍾耀霆 | ||||
129 | 2013 | 在多媒體系統晶片設計中的低電量且高效率的快速移動評估超大型積體電路 | 郭斯彥 ; 黃士嘉 | ||||
130 | 2013 | Method and System for Cleaning Malicious Software and Computer Program Product and Storage Medium | Shih-Yao Dai; Yu-Chen Chang; Jain-Shing Wu; Chih-Hung Lin; Yen-Nun Huang; Sy-Yen Kuo; SY-YEN KUO | ||||
131 | 2013 | A Reduced-Complexity Blind Detector for MIMO System Using K-Means Clustering Algorithm | H. W. Liang; Y. J. Chang; W. H. Chung; S. Y. Kuo; SY-YEN KUO | 77th IEEE Vehicular Technology Conference(VTC2013-Spring) | 5 | 0 | |
132 | 2013 | Top-k Query Result Completeness Verification in Sensor Networks | C. M. Yu; K. K. Ni; I. Y. Chen; E. Gelenbe; S. Y. Kuo; SY-YEN KUO | 2013 IEEE International Conference on Communications (ICC 2013) | 11 | 0 | |
133 | 2013 | MoteSec-Aware: A Practical Secure Mechanism for Wireless Sensor Networks | Y. T. Tsou; C. S. Lu; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Wireless Communications | 17 | 11 | |
134 | 2013 | Investigating DNS traffic anomalies for malicious activities | F. V. Yarochkin; V. Kropotov; Y. Huang; G. K. Ni; I. Y. Chen; S. Y. Kuo; SY-YEN KUO | 43rd IEEE/IFIP International Conference on Dependable Systems and Networks (DSN-2013) | 3 | 0 | |
135 | 2013 | Server, User Device and Malware Detection Method Thereof | Shih-Yao Dai; Yu-Chen Chang; Jain-Shing Wu; Jui-Fan Chen; Sy-Yen Kuo; SY-YEN KUO | ||||
136 | 2013 | 惡意軟件清除方法、系統及電腦程式產品與儲存媒體 | 戴士堯; 張瑜真; 吳建興; 林志鴻; 黃彥男; 郭斯彥; SY-YEN KUO | ||||
137 | 2013 | Localized Algorithms for Detection of Node Replication Attacks in Mobile Sensor Networks | C. M. Yu; Y. T. Tsou; C. S. Lu; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Information Forensics and Security | 34 | 27 | |
138 | 2013 | Multi-Element Antenna with Close Spacing for Highly Mobile OFDM Systems | D. L. Liu; W. H. Chung.; G. K Ni; I. Y. Chen; S. Y. Kuo; SY-YEN KUO | 2013 IEEE Wireless Communications and Networking Conference (WCNC'13) | 0 | 0 | |
139 | 2013 | 視訊影像傳輸中遇到封包遺失時的有效選取方法 | SY-YEN KUO ; 郭斯彥; 黃士嘉 | ||||
140 | 2013 | An automatic motion detection algorithm for transport monitoring systems. | Cheng, Fan-Chieh; Chen, Bo-Hao; Huang, Shih-Chia; Kuo, Sy-Yen; Vishnyakov, Boris; Kopylov, Andrey; Vizilter, Yury; Mestetskiy, Leonid; Seredin, Oleg; Vygolov, Oleg; SY-YEN KUO | IEEE International Symposium on Consumer Electronics, ISCE 2013, Hsinchu City, Taiwan, June 3-6, 2013 | 2 | 0 | |
141 | 2013 | Dynamic software update model for remote entity management of machine-to-machine service capability | Chang, Y.-C.; Chi, T.-Y.; Wang, W.-C.; Kuo, S.-Y.; SY-YEN KUO | IET Communications | 13 | 10 | |
142 | 2013 | Fast human/car classification methods in the computer vision tasks | Vishnyakov, B.V.; Malin, I.K.; Vizilter, Y.V.; Huang, S.-C.; Kuo, S.-Y.; SY-YEN KUO | Proceedings of SPIE - The International Society for Optical Engineering | 1 | 0 | |
143 | 2013 | Visibility enhancement of single hazy images using hybrid dark channel prior | Cheng, Y.-J.; Chen, B.-H.; Huang, S.-C.; Kuo, S.-Y.; Kopylov, A.; Seredin, O.; Mestetskiy, L.; Vishnyakov, B.; Vizilter, Y.; Vygolov, O.; Lian, C.-R.; Wu, C.-T.; SY-YEN KUO | Proceedings - 2013 IEEE International Conference on Systems, Man, and Cybernetics, SMC 2013 | 18 | 0 | |
144 | 2013 | Design of event-based Intrusion Detection System on OpenFlow Network | Hu, Y.-L.; Su, W.-B.; Wu, L.-Y.; Huang, Y.; Kuo, S.-Y.; SY-YEN KUO | Proceedings of the International Conference on Dependable Systems and Networks | 17 | 0 | |
145 | 2013 | Wireless local area network cards identification based on transient fingerprinting | Zhao, C.; Chi, T.-Y.; Huang, L.; Yao, Y.; Kuo, S.-Y.; SY-YEN KUO | Wireless Communications and Mobile Computing | 10 | 8 | |
146 | 2012 | Privacy- and Integrity-Preserving Range Query in Wireless Sensor Networks | Y. T. Tsou; C. S. Lu; S. Y. Kuo; SY-YEN KUO | 2012 IEEE Global Telecommunications Conference (GLOBECOM'12) | 13 | 0 | |
147 | 2012 | 正確的無線網路視訊傳輸在多媒體上的應用 | SY-YEN KUO ; 黃士嘉; 郭斯彥 | ||||
148 | 2012 | Interference Mitigation through Self-Organization in OFDMA Femtocells | Y. S. Liang; W. H. Chung.; H. Zhang; S. Y. Kuo; SY-YEN KUO | 2012 IEEE 76th Vehicular Technology Conference (VTC-2012) | 3 | ||
149 | 2012 | Holography: A Behavior-based Profiler for Malware Analysis | Y. Dai; Y. Fyodor; M. W. Wu; Y. Huang; S. Y. Kuo; SY-YEN KUO | Software: Practice and Experience | 9 | 5 | |
150 | 2012 | Bi-Space Shift Keying Modulation for MIMO Systems | H. W. Liang; R. Y. Chang; W. H. Chung; H. Zhang,; S. Y. Kuo; SY-YEN KUO | IEEE Communications Letters | 29 | 25 | |
151 | 2012 | Low-complexity and High-quality Error Concealment techniques for video sequence transmissions | Shih-Chia Huang; Sy-Yen Kuo; SY-YEN KUO | ||||
152 | 2012 | Efficient Data Prediction and Data Reuse Motion Estimation Engine for System-On-Chip Design | Shih-Chia Huang; Sy-Yen Kuo; SY-YEN KUO | ||||
153 | 2012 | A Self-Configurable Power Control Algorithm for Cognitive Radio-based Industrial Wireless Sensor Networks with Interference Constraints | T. Zheng; Y. Qin.; H. Zhang; S. Y. Kuo; SY-YEN KUO | 2012 IEEE International Conference on Communications (ICC 2012) | 9 | 0 | |
154 | 2012 | Node Disposition Apparatus, Node Disposition Method and Computer Readable Medium Thereof | C. M. Huang; Y. S. Liang; S. Y. Kuo; C. H. Ho; SY-YEN KUO | ||||
155 | 2012 | Low-Power and High-Throughput Design of Fast Motion Estimation VLSI Architecture for Multimedia System-on-Chip Design | Sy-Yen Kuo; Shih-Chia Huang; SY-YEN KUO | ||||
156 | 2012 | Low Power Video Compression Chipset for Portable Application | Shih-Chia Huang; Sy-Yen Kuo; SY-YEN KUO | ||||
157 | 2012 | Optimal Self Boundary Recognition with Two-Hop Information for Ad Hoc Networks | Y. H. Chen; W. H. Chung; G. K Ni; H. Zhang; S. Y. Kuo; SY-YEN KUO | 2012 IEEE Wireless Communications and Networking Conference (WCNC'12) | 5 | 0 | |
158 | 2012 | Seamless wireless video transmission for multimedia applications | Shih-Chia Huang; Sy-Yen Kuo; SY-YEN KUO | ||||
159 | 2012 | A Parallel Processing Algorithm for Schnorr-Euchner Sphere Decoder | H. W. Liang; W. H. Chung.; H. Zhang,; S. Y. Kuo; SY-YEN KUO | 2012 IEEE Wireless Communications and Networking Conference (WCNC'12) | 2 | 0 | |
160 | 2012 | Throughput Improvement of Multi-hop Wireless Mesh Networks with Cooperative Opportunistic Routing | Y. S. Liang; W. H. Chung.; H. Zhang; S. Y. Kuo; SY-YEN KUO | IEEE Wireless Communications and Networking Conference (WCNC'12) | 7 | 0 | |
161 | 2012 | Quantum Blind Computation with Teleportation-based Computation | N. H. Chia; C. H. Chien.; W. H. Chung; S. Y. Kuo; SY-YEN KUO | 9th International Conference on Information Technology - New Generations (ITNG) | 2 | 0 | |
162 | 2012 | 影像的傳輸中的高品質且省時間的錯誤隱藏技術 | 郭斯彥; 黃士嘉; SY-YEN KUO | ||||
163 | 2012 | Localized Algorithms for Detection of Node Replication Attacks in Mobile Sensor Networks | C. M. Yu; C. S. Lu; S. Y. Kuo; SY-YEN KUO | IEEE PerCom Workshop (IEEE International Workshop on Sensor Networks and Systems for Pervasive Computing) | 34 | 27 | |
164 | 2012 | 一種定位資訊之情境影像系統 | 趙涵捷; 郭斯彥; 顏嗣均; 紀廷運; SY-YEN KUO | ||||
165 | 2012 | Efficient Adaptive Mode Selection Technique for H.264/AVC-Coded Video Delivery in Burst-Packet-Loss Networks | 郭斯彥(Sy-Yen Kuo); 黃士嘉 (Shih-Chia Huang); SY-YEN KUO | ||||
166 | 2012 | Obstacle-Avoiding Rectilinear Steiner Tree Construction: A Steiner-Point Based Algorithm | CHIH-HUNG LIU ; S. Y. Kuo; D. T. Lee; C. S. Lin; J. H. Weng; S. Y. Yuan; SY-YEN KUO | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 28 | 20 | |
167 | 2012 | Resource Allocation with Interference Avoidance in OFDMA Femtocell Networks | Y. S Liang; W. H. Chung; H. Zhang; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Vehicular Technology | 166 | 143 | |
168 | 2012 | Resource block assignment for interference avoidance in femtocell networks | Liang, Y.-S.; Chung, W.-H.; Yu, C.-M.; Zhang, H.; Chung, C.-H.; Ho, C.-H.; Kuo, S.-Y.; SY-YEN KUO | IEEE Vehicular Technology Conference | 5 | 0 | |
169 | 2012 | Quantum circuit and Byzantine generals problem | Chien, C.-H.; Lin, T.-S.; Lu, C.-Y.; Yuan, S.-Y.; Kuo, S.-Y.; SY-YEN KUO | Proceedings of the IEEE Conference on Nanotechnology | 2 | 0 | |
170 | 2012 | Moving object extraction using compressed domain features of H.264 INTRA frames | Wang, F.-P.; Chung, W.-H.; Ni, G.-K.; Chen, I.-Y.; Kuo, S.-Y.; SY-YEN KUO | Proceedings - 2012 IEEE 9th International Conference on Advanced Video and Signal-Based Surveillance, AVSS 2012 | 3 | 0 | |
171 | 2012 | Civil UAV path planning algorithm for considering connection with cellular data network | Chi, T.-Y.; Ming, Y.; Tseng, Kuo, S.-Y.; Liao, C.-C.; SY-YEN KUO | Proceedings - 2012 IEEE 12th International Conference on Computer and Information Technology, CIT 2012 | 22 | 0 | |
172 | 2012 | CSI: Compressed sensing-based clone identification in sensor networks | Yu, C.-M.; Lu, C.-S.; Kuo, S.-Y.; SY-YEN KUO | 2012 IEEE International Conference on Pervasive Computing and Communications Workshops, PERCOM Workshops 2012 | 32 | 0 | |
173 | 2012 | An efficient approach to extract moving objects by the H.264 compressed-domain features | Wang, F.-P.; Chung, W.-H.; Kuo, S.-Y.; SY-YEN KUO | 2012 12th International Conference on ITS Telecommunications, ITST 2012 | 4 | 0 | |
174 | 2012 | Optimal frequency offsets with doppler spreads in mobile OFDM system | Liu, T.-L.; Chung, W.-H.; Zhang, H.; Chung, C.-H.; Ho, C.-H.; Kuo, S.-Y.; SY-YEN KUO | IEEE Vehicular Technology Conference | 1 | 0 | |
175 | 2012 | An efficient code gateway for RFID seamless applications | Ma, Y.-W.; Chen, J.-L.; Kuo, S.-Y.; Hsieh, W.-K.; Huang, Y.-M.; SY-YEN KUO | International Journal of Network Management | 1 | 2 | |
176 | 2012 | Capacity analysis for multiple-input multiple-output relay system in a low-rank line-of-sight environment | Zhao, Y.; Huang, L.; Chi, T.-Y.; Kuo, S.-Y.; Yao, Y.; SY-YEN KUO | IET Communications | 6 | 2 | |
177 | 2012 | Visual saliency-aware radio resource allocation for image transmission in SC-FDMA systems | Chen, L.-S.; Chung, W.-H.; Liu, T.-L.; Gao, D.-Y.; Kuo, S.-Y.; SY-YEN KUO | 2012 12th International Conference on ITS Telecommunications, ITST 2012 | 0 | 0 | |
178 | 2012 | Socio-organism inspired model forming multi-level computational scheme for integrated IoT service architecture | Larosa, Y.T.; Chen, J.-L.; Ma, Y.-W.; Kuo, S.-Y.; SY-YEN KUO | 2012 2nd Baltic Congress on Future Internet Communications, BCFIC 2012 | 0 | 0 | |
179 | 2012 | Video-based on-road driving safety system with lane detection and vehicle detection | Chen, W.; Jian, L.; Kuo, S.-Y.; SY-YEN KUO | 2012 12th International Conference on ITS Telecommunications, ITST 2012 | 12 | 0 | |
180 | 2012 | Compressed sensing detector design for space shift keying in MIMO systems | Yu, C.-M.; Hsieh, S.-H.; Liang, H.-W.; Lu, C.-S.; Chung, W.-H.; Kuo, S.-Y.; SY-YEN KUO ; SOO-CHANG PEI | IEEE Communications Letters | 82 | 75 | |
181 | 2011 | Dependability Enhancement of Reactor Containment in Safety Critical Nuclear Power Plants | C. S. Cho; W. H. Chung; D. Gao; H. Zhang; S. Y. Kuo; SY-YEN KUO | 2011 Pacific Rim International Symposium on Dependable Computing (PRDC'11) | 1 | 0 | |
182 | 2011 | Quantum Signature Scheme for Vehicular Networks Using Entangled States | T. S. Lin; C. H. Chien; T. H. Chang; S. Y. Kuo; SY-YEN KUO | 45th IEEE International Carnahan Conference on Security Technology | 5 | 0 | |
183 | 2011 | An Extended XQDD Representation for Multiple-Valued Quantum Logic | C. Y. Lu; S. A. Wang; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Computers | 6 | 5 | |
184 | 2011 | Quantum Circuits and Quantum Message Integrity | T. S. Lin; C. H. Chien; T. H. Chang; S. Y. Kuo; SY-YEN KUO | 45th IEEE International Carnahan Conference on Security Technology | 2 | 0 | |
185 | 2011 | Applying Verification Intention for Design Customization via Property Mining under Constrained Testbenches | C. N. Chung; C. W. Chang; K. H. Chang; S. Y. Kuo; SY-YEN KUO | International Conference on Computer Design (ICCD 2011) | 4 | 0 | |
186 | 2011 | Interference Mitigation through Self-Organization in OFDMA Femtocells | Y. S. Liang; W. H. Chung; H. Zhang; S. Y. Kuo; SY-YEN KUO | 22nd IEEE Personal Indoor Mobile Radio Communications (PIMRC-2011) | 3 | 0 | |
187 | 2011 | Real-Time Video-Based Lane Tracing System with Adaptive Focusing Window | W. Chen; L, Jian; H. Zhang; S. Y. Kuo; SY-YEN KUO | 74rd IEEE Vehicular Technology Conference(VTC-2011) | |||
188 | 2011 | Quantum Authentication Protocol Using Entanglement Swapping | C. H. Chien; T. S. Lin; T. H. Chang; S. Y. Yuan; S. Y. Kuo; SY-YEN KUO | 11th IEEE Conference on Nanotechnology | 4 | 0 | |
189 | 2011 | An Efficient Notification Service Algorithm for Early Earthquake Alert | T. Y. Chi; T. W. Liu; S. Y. Kuo; SY-YEN KUO | 32nd Asia-Pacific Advanced Network Meeting (APAN-NRW-2011) | 8 | 0 | |
190 | 2011 | Reversible Quantum Circuits and Quantum Transmission Integrity | T. S. Lin; C. H. Chien; T. H. Chang; S. Y. Yuan; S. Y. Kuo; SY-YEN KUO | 11th IEEE Conference on Nanotechnology (NANO-2011) | 2 | 0 | |
191 | 2011 | Constrained Function Based Message Authentication for Sensor Networks | C. M. Yu; Y. D. Tsou; C. S. Lu; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Information Forensics and Security | 21 | 12 | |
192 | 2011 | Practical and Secure Multidimensional Query Framework in Tiered Sensor Networks | C. M. Yu; Y. T. Tsou; C. S. Lu; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Information Forensics and Security | 33 | 27 | |
193 | 2011 | Building Biologically Inspired Defenses Against Malicious Software | F. V. Yarochkin; S. Y. Dai; Y. Huang; S. Y. Kuo; SY-YEN KUO | 41th IEEE/IFIP International Conference on Dependable Systems and Networks (DSN-2011) | |||
194 | 2011 | Order-Based Localization Scheme for Ad Hoc Sensor Networks | Y. H. Chen; W. H. Chung; S. Y. Yuan; H. Zhang; S. Y. Kuo; SY-YEN KUO | 73rd IEEE Vehicular Technology Conference(VTC-2011) | 1 | 0 | |
195 | 2011 | Monitor device, monitoring method and computer product thereof for hardware for monitoring a process to detect malware | Shih-Yao Dai; Chih-Hung Lin; Yennun Huang; Chia-Hsiang Chang; Sy-Yen Kuo; SY-YEN KUO | ||||
196 | 2011 | Formal Reset Recovery Slack Calculation at the Register Transfer Level | C. N. Chung; C. W. Chang; K. H. Chang; S. Y. Kuo; SY-YEN KUO | 2011 Design, Automation & Test in Europe Conference (DATE 2011) | 1 | 0 | |
197 | 2011 | An Application-Driven Attack Probability-Based Deterministic Pairwise Key Pre-distribution Scheme for Non-Uniformly Deployed Sensor Networks | C. M. Yu; C. C. Li; C. S. Lu; D. T. Lee; S. Y. Kuo; SY-YEN KUO | International Journal of Sensor Networks (IJSNet) | 16 | 13 | |
198 | 2011 | On the convergence condition and convergence time of BGP | H. Gou; W. Su; H. Zhang; S. Y. Kuo; SY-YEN KUO | Computer Communications | 9 | 6 | |
199 | 2011 | Facilitating Unreachable Code Diagnosis and Debugging | H. Z. Chou; K. H. Chang; S. Y. Kuo; SY-YEN KUO | 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011) | 2 | 0 | |
200 | 2011 | Constraint generation for software-based post-silicon bug masking with scalable resynthesis technique for constraint optimization | Chang, C.-W.; Chou, H.-Z.; Chang, K.-H.; Jiang, J.-H.R.; Liu, C.-N.J.; Hsiao, C.-H.; JIE-HONG JIANG ; SY-YEN KUO | Proceedings of the 12th International Symposium on Quality Electronic Design, ISQED 2011 | 3 | 0 | |
201 | 2011 | Editorial: Special Issue on Intelligent RFID Network and Innovative Applications | Kuo, Sy-Yen; Yang, Chang-Fa; Chou, Shuo-Yan; Chen, Jiann-Liang; SY-YEN KUO | Wireless Personal Communications | 0 | 0 | |
202 | 2011 | An efficient earthquake early warning message delivery algorithm using an in time control-theoretic approach | Chi, T.-Y.; Chen, C.-H.; Chao, H.-C.; Kuo, S.-Y.; SY-YEN KUO | Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) | 3 | 0 | |
203 | 2011 | UFlow: Dynamic software updating in wireless sensor networks | Chi, T.-Y.; Wang, W.-C.; Kuo, S.-Y.; SY-YEN KUO | Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) | 5 | 0 | |
204 | 2011 | Message from the general chair and conference coordinator (contd.) | Lyu, M.R.; Kuo, S.-Y.; SY-YEN KUO | Proceedings of the International Conference on Dependable Systems and Networks | 0 | 0 | |
205 | 2011 | Malware profiler based on innovative behavior-awareness technique | Dai, S.-Y.; Fyodor, Y.; Kuo, S.-Y.; Wu, M.-W.; Huang, Y.; SY-YEN KUO | Proceedings of IEEE Pacific Rim International Symposium on Dependable Computing, PRDC | 3 | 0 | |
206 | 2011 | Real-time video-based lane tracing system with the sliding focus window | Chen, W.; Jian, L.; Zhang, H.; Kuo, S.-Y.; SY-YEN KUO | IEEE Vehicular Technology Conference | 0 | 0 | |
207 | 2011 | Reactor containment dependability analysis in safety critical nuclear power plants: Design, implementation and experience | Cho, C.-S.; Chung, W.-H.; Gao, D.; Zhang, H.; Kuo, S.-Y.; SY-YEN KUO | Proceedings of the International Conference on Parallel and Distributed Systems - ICPADS | 0 | 0 | |
208 | 2010 | Probabilistic Evaluations of Event-Tree and Fault-Tree in Safety-Critical Systems | C. S. Cho; S. C. Dai; W. H. Chung; S. Y. Kuo; SY-YEN KUO | 4th Asia-Pacific International Symposium on Advanced Reliability and Maintenance Modeling (APARM 2010) | |||
209 | 2010 | Quantum Secure Direct Communication With Constant Number of EPR Pairs | C. Y. Lu; S. A. Wang; Y. J. Cheng; S. Y. Kuo; SY-YEN KUO | International Journal of Quantum Information | 5 | 5 | |
210 | 2010 | Quantum Indirect Shared Key Protocol | T. S. Lin; C. H. Chien; S. Y. Kuo; SY-YEN KUO | The third international conference on Updating Quantum Cryptography and Communications (UQCC2010) | |||
211 | 2010 | Quantum Transmission Mechanism for Detection | T. S. Lin; C. H. Chien; S. Y. Kuo; SY-YEN KUO | The third international conference on Updating Quantum Cryptography and Communications (UQCC2010) | |||
212 | 2010 | Effective Design-for-Testability Techniques for H.264 All-Binary Integer Motion Estimation | P. Y. Yeh; B. Y. Ye; S. Y. Kuo; SY-YEN KUO | IET Circuits, Devices & Systems | 1 | 1 | |
213 | 2010 | Non-Interactive Pairwise Key Establishment for Sensor Networks | C. M. Yu; C. S. Lu; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Information Forensics and Security | 39 | 28 | |
214 | 2010 | Quantum Encrypted Computation Using Quantum Private Queries | N. H. Chia; C. H. Chien; S. Y. Kuo; SY-YEN KUO | 10th Asian Conference on Quantum Information Science (AQIS 2010) | |||
215 | 2010 | Quantum Switching and Quantum String Matching | T. S. Lin; S. Y. Kuo; SY-YEN KUO | 2010 IEEE Conference on Nanotechnology (IEEE-NANO 2010) | 6 | 0 | |
216 | 2010 | System and Method for Securing Web Application Code and Verifying Correctness of Software | Yao-Wen Huang; Fan Yi; Chung-Hung Tsai; Christian Hang; Der-Tsai Lee; Sy-Yen Kuo; SY-YEN KUO | ||||
217 | 2010 | Automating Unreachable Code Diagnosis and Debugging | H. Z. Chou; K. H. Chang; S. Y. Kuo; SY-YEN KUO | 19th International Workshop on Logic and Synthesis(IWLS 2010) | |||
218 | 2010 | Automatic Constraint Generation for Software-Based Post-Silicon Bug Repair | H. Z. Chou; K. H. Chang; S. Y. Kuo; SY-YEN KUO | 19th International Workshop on Logic and Synthesis(IWLS 2010) | |||
219 | 2010 | Acquiring Authentic Data in Unattended Wireless Sensor Networks | C. M. Yu; C. Y. Chen 3; C. S. Lu; S. Y. Kuo; H. C. Chao; SY-YEN KUO | Sensors Journal | 13 | 10 | |
220 | 2010 | Accurately Handle Don’t-Care Conditions in High-Level Designs and Application for Reducing Initialized Registers | H. Z. Chou; K. H. Chang; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 12 | 11 | |
221 | 2010 | Finding Reset Nondeterminism in RTL Designs–Scalable X-Analysis Methodology and Case Study | H. Z. Chou; H. Yu; K. H. Chang; D. Dobbyn; S. Y. Kuo; SY-YEN KUO | 2010 Design, Automation & Test in Europe (DATE 2010) | 9 | 0 | |
222 | 2010 | Android/OSGi-based Vehicular Network Management System | T. W Chang; J. L Chen; M. C Chen; S. Y. Kuo; SY-YEN KUO | IEEE Conference on Advanced Communication Technology(ICACT 2010) | 13 | ||
223 | 2010 | Optimizing Blocks in an SoC Using Symbolic Code-Statement Reachability Analysis | H. Z. Chou; K. H. Chang; S. Y. Kuo; SY-YEN KUO | 15th Asia and South Pacific Design Automation Conference (ASP-DAC 2010) | 5 | 0 | |
224 | 2010 | Performance assessment and reliability analysis of dependable and distributed computing systems based on BDD and recursive merge | Chang, Y.-R.; Huang, C.-Y.; Kuo, S.-Y.; SY-YEN KUO | Applied Mathematics and Computation | 8 | 5 | |
225 | 2010 | A network-based mobility management scheme for future Internet | Dong, P.; Zhang, H.; Luo, H.; Chi, T.-Y.; Kuo, S.-Y.; SY-YEN KUO | Computers and Electrical Engineering | 28 | 21 | |
226 | 2010 | iCon: Utilizing everyday objects as additional, auxiliary and instant tabletop controllers | Cheng, K.-Y.; Liang, R.-H.; BING-YU CHEN ; Laing, R.-H.; SY-YEN KUO | Conference on Human Factors in Computing Systems | |||
227 | 2009 | Holography: A Hardware Virtualization Tool for Malware Analysis | S. Y. Dai; F. V. Yarochkin; Y. Huang; S. Y. Kuo; SY-YEN KUO | 2009 Pacific Rim International Symposium on Dependable Computing (PRDC'09) | 8 | 0 | |
228 | 2009 | Increasing Service Availability in a Wireless Home Network Environment | C. Y. Lin; S. C. Wang; S. Y. Kuo,; SY-YEN KUO | The Computer Journal | 1 | 1 | |
229 | 2009 | Increasing Reliability for IEEE 802.16j Mobile Multi-hop Relay Networks Planning | C. Y. Chen; Y. S. Liang; C. M. Yu; C. H. Ho; S. Y. Kuo; SY-YEN KUO | 2009 Pacific Rim International Symposium on Dependable Computing (PRDC'09) | |||
230 | 2009 | Service Integration with UPnP Agent for an Ubiquitous Home Environment | W. Chen; S. Y. Kuo; H. C Chao; SY-YEN KUO | Information Systems Frontiers | 8 | 7 | |
231 | 2009 | Obstacle-Avoiding Rectilinear Steiner Tree Construction Based On Steiner Point Selection | CHIH-HUNG LIU ; S. Y. Yuan; S. Y. Kuo; J. H. Weng; SY-YEN KUO | ACM/IEEE 2009 International Conference on Computer-Aided Design (ICCAD-2009) | 12 | 0 | |
232 | 2009 | Design-for-Testability Techniques for CORDIC Design | B. Y. Ye; P. Y. Yeh; S. Y. Kuo; I. Y. Chen; SY-YEN KUO | Microelectronics Journal | 1 | 1 | |
233 | 2009 | Quantum signature mechanism with GHZ states | T. S. Lin; C. C. Lee; S. Y. Kuo; SY-YEN KUO | 2009 IEEE International Carnahan Conference on Security Technology -43rd Annual Conference | 0 | 0 | |
234 | 2009 | Efficient and Distributed Detection of Node Replication Attacks in Mobile Sensor Networks | C. M. Yu; C. H. Lu; S. Y. Kuo; SY-YEN KUO | IEEE Vehicular Technology Conference (VTC 2009-Fall) | 41 | 0 | |
235 | 2009 | Scalable and bijective cells for C-testable iterative logic array architectures | B. Y. Ye; P. Y. Yeh; S. Y. Kuo; I. Y. Chen; SY-YEN KUO | IET Circuits, Devices & Systems | 2 | 1 | |
236 | 2009 | Quantum transmission integrity mechanism for indirect communication | T. S. Lin; I. M. Tsai; S. Y. Kuo; SY-YEN KUO | 18th IEEE International Conference on Computer Communications and Network | 2 | 0 | |
237 | 2009 | 延遲線及其應用之類比數位轉換裝置與負載感測電路 | 陳科宏; 黃立仁; 黃宏瑋; 郭斯彥; SY-YEN KUO | ||||
238 | 2009 | 直流轉直流穩壓器以及誤差放大器 | SY-YEN KUO ; 陳科宏; 黃立仁; 黃宏瑋; 郭斯彥 | ||||
239 | 2009 | An O(n log n) Path-Based Obstacle-Avoiding Algorithm for Rectilinear Steiner Tree Construction | CHIH-HUNG LIU ; Y. H. Chou; S. Y. Yuan; S. Y. Kuo; SY-YEN KUO | 46th ACM/IEEE Design Automation Conference (DAC-2009) | 16 | 0 | |
240 | 2009 | Xprobe2++: Low volume remote network information gathering tool | F. V. Yarochkin; O. Arkin; M. Kydyraliev; S. Y. Dai; Y. Huang; S. Y. Kuo; SY-YEN KUO | 39th IEEE/IFIP International Conference on Dependable Systems and Networks (DSN-2009) | 31 | 0 | |
241 | 2009 | A Simple Non-Interactive Pairwise Key Establishment Scheme in Sensor Networks | C. M. Yu; C. H. Lu; S. Y. Kuo; SY-YEN KUO | Sixth Annual IEEE Communications Society Conference on Sensor, Mesh and Ad Hoc Communications and Networks (SECON 2009) | 11 | 0 | |
242 | 2009 | High Performance Obstacle-Avoiding Rectilinear Steiner Tree Construction | CHIH-HUNG LIU ; S. Y. Yuan; S. Y. Kuo; S. C. Wang; SY-YEN KUO | ACM Transactions on Design Automation of Electronic Systems | 6 | 5 | |
243 | 2009 | A DoS-Resilient En-route Filtering Scheme for Sensor Networks | C. M. Yu; C. H. Lu; S. Y. Kuo; SY-YEN KUO | Tenth ACM International Symposium on Mobile Ad Hoc Networking and Computing (MobiHoc'09) | 6 | 0 | |
244 | 2009 | Enhancing Bug Hunting Using High-Level Symbolic Simulation | H. Z. Chou; I. H. Lin; C. S. Yang; K. H. Chang; S. Y. Kuo; SY-YEN KUO | 2009 ACM Great Lake Symposium on VLSI (GLSVLSI-2009) | 5 | 0 | |
245 | 2009 | 電源供應裝置 | SY-YEN KUO ; 陳科宏; 黃立仁; 黃宏瑋; 郭斯彥 | ||||
246 | 2009 | A constrained Function Based Message Authentication Scheme for Sensor Networks | C. M. Yu; C. H. Lu; S. Y. Kuo; SY-YEN KUO | IEEE Wireless Communications and networking Conference | 3 | 0 | |
247 | 2009 | Design-for-Testability Techniques for Arithmetic Circuits | B. Y. Ye; P. Y. Yeh; S. Y. Kuo; I. Y. Chen; SY-YEN KUO | IEEE Circuits and Systems International Conference on Testing and Diagnosis (ICTD'09) | 1 | 0 | |
248 | 2009 | Handling Don't-Care Conditions in High-LevelSynthesis and Application for Reducing Initialized Registers | H. Z. Chou; K. H. Chang; S. Y. Kuo; SY-YEN KUO | 46th ACM/IEEE Design Automation Conference (DAC-2009) | 8 | 0 | |
249 | 2009 | Order-based localization scheme in ad hoc sensor networks | Chen, Y.-H.; Yuan, S.-Y.; Ho, C.-H.; Kuo, S.-Y.; SY-YEN KUO | 1st South Central Asian Himalayas Regional IEEE/IFIP International Conference on Internet, AH-ICI 2009 | 0 | 0 | |
250 | 2009 | Introducing P2P architecture in adaptive covert communication system | Yarochkin, F.V.; Dai, S.-Y.; Lin, C.-H.; Huang, Y.; Kuo, S.-Y.; SY-YEN KUO | 1st South Central Asian Himalayas Regional IEEE/IFIP International Conference on Internet, AH-ICI 2009 | 5 | 0 | |
251 | 2009 | MemoIcon: using everyday objects as physical icons. | Chen, Bing-Yu; Cheng, Kai-Yin; Chu, Hao-Hua; Kuo, Sy-Yen; Liang, Rong-Hao; Yu, Ming-Yang; Liang, Rung-Huei; Lin, Hung-Jung; Chu, Yu-Ming; SY-YEN KUO | International Conference on Computer Graphics and Interactive Techniques, SIGGRAPH ASIA 2009, Yokohama, Japan, December 16-19, 2009, Art Gallery & Emerging Technologies: Adaptation | 0 | 0 | |
252 | 2009 | Ubiquitous IMS emergency services over cooperative heterogeneous networks | Chen, C.-Y.; Chang, K.-D.; Chao, H.-C.; Kuo, S.Y.; SY-YEN KUO | Proceedings of the 2009 ACM International Wireless Communications and Mobile Computing Conference, IWCMC 2009 | 4 | 0 | |
253 | 2009 | Evaluation of scalable routing architecture based on locator/identifier separation | Dong, P.; Wang, H.; Qin, Y.; Zhang, H.; Kuo, S.-Y.; SY-YEN KUO | 2009 IEEE Globecom Workshops, Gc Workshops 2009 | 14 | 0 | |
254 | 2008 | Towards Adaptive Covert Communication System | F. V. Yarochkin; S. Y. Dai; Y, Huang; S. Y. Kuo; SY-YEN KUO | 2008 Pacific Rim International Symposium on Dependable Computing (PRDC'08) | 13 | 0 | |
255 | 2008 | Secure Quantum Packet Transmission Mechanism for Wireless Networks | T. S. Lin; S. Y. Kuo; SY-YEN KUO | IEEE International Carnahan Conference on Security Technology 42nd Annual Conference | 3 | 0 | |
256 | 2008 | Optimization of Hybridized Error Concealment for H.264 | S. C. Huang; S. Y. Kuo; SY-YEN KUO | IEEE Transaction on Broadcasting | 28 | 23 | |
257 | 2008 | Power Supply Apparatus | Ke-Horng Chen; Li-Ren Huang; Hong-Wei Huang; Sy-Yen Kuo; SY-YEN KUO | ||||
258 | 2008 | 為可靠計算設計之非集中式代理人容錯基礎架構 (新制多年期第1年) | 郭斯彥 | ||||
259 | 2008 | 高韌性同儕網路及其在即時多媒體上之應用-同儕網路影音串流之跨階層容錯機制 (新制多年期第1年) | 郭斯彥 | ||||
260 | 2008 | Quantum Boolean Circuits are 1-Testable | Y. H. Chou; I. M. Tsai; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Nanotechnology | 10 | 7 | |
261 | 2008 | Quantum Wireless Intrusion Detection Mechanism | T. S. Lin; I. M. Tsai; S. Y. Kuo; SY-YEN KUO | 2nd Workshop on Dependable & Secure Nanocomputing | |||
262 | 2008 | Yield Enhancement Techniques for Content-Addressable Memories | S. K. Lu; G. Q. Lin; S. Y. Kuo; SY-YEN KUO | 38th IEEE/IFIP International Conference on Dependable Systems and Networks (DSN-2008) | |||
263 | 2008 | Efficient Multilayer Routing Based on Obstacle-Avoiding Preferred Direction Steiner Tree | CHIH-HUNG LIU ; Y. H. Chou; S. Y. Yuan; S. Y. Kuo; SY-YEN KUO | International Symposium on Physical Design (ISPD'08) | 10 | 0 | |
264 | 2008 | QBIST: 1-Testable Quantum Built-In Self-Test for any Boolean Circuit | Y. H. Chou; I. M. Tsai; S. Y. Kuo; SY-YEN KUO | 26th IEEE VLSI Test Symposium (VTS'08) | 3 | 0 | |
265 | 2008 | A 1-V, 16.9 ppm/℃, 250 nA Switched-Capacitor CMOS Voltage Reference | H. W. Huang; C. Y. Hsieh; K. H. Chen,; S. Y. Kuo; SY-YEN KUO | 2008 International Solid-State Circuits Conference | 15 | 15 | |
266 | 2008 | An XQDD-Based Verification Method for Quantum Circuits | S. A. Wang; C. Y. Lu; I. M. Tsai; S. Y. Kuo; SY-YEN KUO | IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences | 72 | 47 | |
267 | 2008 | Temporal Error Concealment for H.264 Using Optimum Regression Plane | S. C. Huang; S. Y. Kuo; SY-YEN KUO | 14th International MultiMedia Modeling Conference (MMM'08) | 1 | 0 | |
268 | 2008 | Optimization of Spatial Error Concealment for H.264 Featuring Low Complexity | S. C. Huang; S. Y. Kuo; SY-YEN KUO | 14th International MultiMedia Modeling Conference (MMM'08) | 0 | 0 | |
269 | 2008 | A Constrained random perturbation vector-based pairwise key establishment scheme for wireless sensor networks | Yu, C.-M.; Chi, T.-Y.; Lu, C.-S.; Kuo, S.Y.; SY-YEN KUO | Proceedings of the International Symposium on Mobile Ad Hoc Networking and Computing (MobiHoc) | 6 | 0 | |
270 | 2008 | Improving boolean circuit testing by using quantum search | Chou, Y.-H.; Kuo, S.-Y.; SY-YEN KUO | 2008 8th IEEE Conference on Nanotechnology, IEEE-NANO | 3 | 0 | |
271 | 2008 | Scalable arithmetic cells for iterative logic array | Ye, B.-Y.; Yeh, P.-Y.; Kuo, S.-Y.; Lu, S.-K.; SY-YEN KUO | Proceedings of ICECE 2008 - 5th International Conference on Electrical and Computer Engineering | 0 | 0 | |
272 | 2008 | Novel C-testable design for H.264 Integer Motion Estimation | Yeh, P.-Y.; Ye, B.-Y.; Kuo, S.-Y.; Lu, S.-K.; SY-YEN KUO | Proceedings of ICECE 2008 - 5th International Conference on Electrical and Computer Engineering | 1 | 0 | |
273 | 2008 | A 1V 16.9ppm/°C 250nA switched-capacitor CMOS voltage reference | Huang, H.-W.; Hsieh, C.-Y.; Chen, K.-H.; Kuo, S.-Y.; SY-YEN KUO | Digest of Technical Papers - IEEE International Solid-State Circuits Conference | 36 | 0 | |
274 | 2008 | Implementation of openWrt-based IP PnP Gateway | Lee, Y.-Y.; Chen, I.-Y.; Kuo, S.-Y.; Liu, H.-H.; Leu, Y.-R.; SY-YEN KUO | Proceedings of the International Conference on Mobile Technology, Applications, and Systems, Mobility'08 | 3 | 0 | |
275 | 2008 | Mobile sensor network resilient against node replication attacks | Yu, C.-M.; Lu, C.-S.; Kuo, S.-Y.; SY-YEN KUO | 2008 5th Annual IEEE Communications Society Conference on Sensor, Mesh and Ad Hoc Communications and Networks, SECON | 63 | 0 | |
276 | 2008 | Rule-based intrusion detection mechanism for IP multimedia subsystem | Chen, C.-Y.; Chao, H.-C.; Kuo, S.-Y.; Chang, K.-D.; SY-YEN KUO | Journal of Internet Technology | 8 | ||
277 | 2008 | Intelligent Mobile Network Handoff Mechanism | Hsiao W.-D; Wu G.-Y; Chi T.-Y; Chao H.-C; SY-YEN KUO | Beijing Jiaotong Daxue Xuebao/Journal of Beijing Jiaotong University | 0 | ||
278 | 2008 | Implementing SIMPLE-based technology for management framework | Lin R.-Z; Liu H.-T; Chi T.-Y; Chen W.-M; SY-YEN KUO | Beijing Jiaotong Daxue Xuebao/Journal of Beijing Jiaotong University | 0 | ||
279 | 2008 | An efficient graph-based algorithm for ESD current path analysis | Liu, Chih-Hung; Liu, Hung-Yi; Lin, Chung-Wei; Chou, Szu-Jui; Chang, Yao-Wen; Kuo, Sy-Yen; Yuan, Shih-Yi; CHUNG-WEI LIN ; CHIH-HUNG LIU ; YAO-WEN CHANG ; SY-YEN KUO | Ieee Transactions on Computer-Aided Design of Integrated Circuits and Systems | 7 | 4 | |
280 | 2007 | Self-healing Spyware: Detection and Remediation | M. W. Wu; Y. M. Wang; S. Y. Kuo; Y. Huang; SY-YEN KUO | IEEE Transactions on Reliability | 13 | 7 | |
281 | 2007 | MAPMon: A Host-Based Malware Detection Tool | S. Y. Dai; S. Y. Kuo; SY-YEN KUO | 2007 Pacific Rim International Symposium on Dependable Computing (PRDC'07) | 12 | 0 | |
282 | 2007 | Randomized Distributed Algorithm for Peer-to-Peer Data Replication in Wireless Ad Hoc Networks | H. Z. Chou; S. C. Wang; S. Y. Kuo; SY-YEN KUO | 2007 Pacific Rim International Symposium on Dependable Computing (PRDC'07) | 0 | 0 | |
283 | 2007 | Examining Web-based Spyware Invasion with Stateful Behavior Monitoring | M. W. Wu; S. Y. Kuo; SY-YEN KUO | Pacific Rim International Symposium on Dependable Computing (PRDC'07) | 1 | 0 | |
284 | 2007 | Fast Transient DC-DC Converter with On-Chip Compensated Error Amplifier | H. W. Huang; K. H. Chen; SY-YEN KUO | IEEE Transactions on Circuits and Systems II | 50 | 41 | |
285 | 2007 | Quantum Circuit Design for Applications on Unordered Database Searches | Y. L. Ju; I. M. Tsai; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Circuits and Systems-I | |||
286 | 2007 | Delay Line, Analog-to-Digital Converting Device and Load-Sensing Circuit | Ke-Horng Chen; Li-Ren Huang; Hong-Wei Huang; Sy-Yen Kuo; SY-YEN KUO | ||||
287 | 2007 | Dithering Skip Modulation, Width and Dead Time Controllers in Highly-Efficient DC-DC Converters for System-on-chip Applications | K. H. Chen; H. W. Huang; S. Y. Kuo; SY-YEN KUO | IEEE Journal of Solid-State Circuits | 154 | 128 | |
288 | 2007 | Randomized and Distributed Methods for Reliable Peer-to-Peer Data Communications in Wireless Ad Hoc Networks | H. Z. Chou; S. C. Wang; I. Y. Chen; S. Y Yuan; S. Y. Kuo; SY-YEN KUO | IET Communications | 4 | 4 | |
289 | 2007 | Habitual Behavior-Based Opportunistic Data Forwarding in Wildlife Tracking | C. M. Yu; C. S. Lu; S. Y. Kuo; SY-YEN KUO | 4th IEEE International Symposium on Wireless Communication Systems (ISWCS'07) | 3 | 0 | |
290 | 2007 | DC-DC Converter and Error Amplifier Thererof | Ke-Horng Chen; Li-Ren Huang; Hong-Wei Huang; Sy-Yen Kuo; SY-YEN KUO | ||||
291 | 2007 | Quantum Boolean Circuit is 1-Testable | Y. H. Chou; I. M. Tsai; Sy-Yen Kuo; SY-YEN KUO | 2007 IEEE Conference on Nanotechnology (IEEE-NANO 2007) | 4 | 0 | |
292 | 2007 | Improving the Network Flow Problem using Quantum Search | C. M. Yu; I. M. Tsai; Y. H. Chou; Sy-Yen Kuo; SY-YEN KUO | 2007 IEEE Conference on Nanotechnology (IEEE-NANO 2007) | 2 | 0 | |
293 | 2007 | 高可靠無線網路暨安全訊息通訊之研究(3/3) | 郭斯彥 | ||||
294 | 2007 | 高韌性同儕網路及其在即時多媒體上之應用-高韌性同儕網路及其在即時多媒體上之應用 (新制多年期第1年) | 郭斯彥 | ||||
295 | 2007 | Efficient and Exact Reliability Evaluation for Networks with Imperfect Vertices | H. Y. Lin; F. M. Yeh; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Reliability | 88 | 58 | |
296 | 2007 | Measurement and Assessment of Testing-Effort Dependent Software Reliability Growth Models | C. Y. Huang; S. Y. Kuo; M. R. Lyu; SY-YEN KUO | IEEE Transactions on Reliability | |||
297 | 2007 | Attack Probability Based Deterministic Key Predistribution Mechanism for Non-Uniform Sensor Deployment | C. M. Yu; C. C. Li; C. S. Lu; D. T. Lee; S. Y. Kuo; SY-YEN KUO | Fourth Workshop on Wireless Ad hoc and Sensor Networks (WWASN2007) | 5 | 0 | |
298 | 2007 | Effort-Index-Based Software Reliability Growth Models and Performance Assessment | C. Y. Huang; S. Y. Kuo; M. R. Lyu; SY-YEN KUO | IEEE Transactions on Reliability | |||
299 | 2007 | Adaptive Low-Error Fixed-Width Booth Multiplier | M. A. Song; L. D. Van; S. Y. Kuo; SY-YEN KUO | IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences | 40 | 32 | |
300 | 2007 | Enhanced UPnP Control and Management in a Campus Network | W. Chen; S. Y. Kuo; H. C. Chao; SY-YEN KUO | International Conference on Multimedia and Ubiquitous Engineering (MUE 2007) | 3 | 0 | |
301 | 2007 | Quantum Entanglement, Non-Locality and Secure Computation | Y. H. Chou; S. Y. Kuo; I. M. Tsai; SY-YEN KUO | First International Workshop on Quantum Security (QSEC'07) | 1 | 0 | |
302 | 2007 | Fundamental Performance Limits of Data Replication for Peer-to-Peer Applications in Wireless Ad-hoc Networks | S. C. Wang; H. Z. Chou; D. S. L. Wei; S. Y. Kuo; SY-YEN KUO | IEEE Journal of Selected Areas in Communications (J-SAC) | 9 | 11 | |
303 | 2007 | 大型磁碟陣列李德所羅門編解碼設計電路 | 宋民安; 郭斯彥; 邱士傑; SY-YEN KUO | ||||
304 | 2007 | Adaptive frequency control technique for enhancing transient performance of DC-DC converters | Huang, H.-W.; Hsieh, C.-Y.; Chen, K.-H.; Kuo, S.-Y.; SY-YEN KUO | ESSCIRC 2007 - Proceedings of the 33rd European Solid-State Circuits Conference | 17 | 0 | |
305 | 2007 | Quantum circuit design and analysis for database search applications | Ju, Y.-L.; Tsai, I.-M.; Kuo, S.-Y.; SY-YEN KUO | IEEE Transactions on Circuits and Systems I: Regular Papers | 15 | 13 | |
306 | 2007 | Load dependent dead-times controller based on minimized duty cycle technique for DC-DC buck converters | Huang, H.-W.; Hsieh, C.-Y.; Chen, K.-H.; Kuo, S.-Y.; SY-YEN KUO | PESC Record - IEEE Annual Power Electronics Specialists Conference | 11 | 0 | |
307 | 2007 | Editorial Wireless Mobile Networks: Cross-Layer Communication. | Chao, H. C.; Huang, C. M.; Guizani, Mohsen; Kuo, Sy-Yen; G?mez-Skarmeta, Antonio Fernandez; Seah, Winston; SY-YEN KUO | IET Communications | 0 | 0 | |
308 | 2007 | Quantum wireless secure communication protocol | Lin, T.-S.; Kuo, S.-Y.; SY-YEN KUO | Proceedings - International Carnahan Conference on Security Technology | 8 | 0 | |
309 | 2007 | A low complexity design of reed solomon code algorithm for advanced RAID system | Song, M.-A.; Kuo, S.-Y.; Lan, I.-F.; SY-YEN KUO | IEEE Transactions on Consumer Electronics | 12 | 3 | |
310 | 2007 | Examining Web-Based Spyware Invasion with Stateful Behavior Monitoring. | Wu, Ming-Wei; Kuo, Sy-Yen; SY-YEN KUO | 13th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2007), 17-19 December, 2007, Melbourne, Victoria, Australia | 4 | 0 | |
311 | 2007 | An assessment of testing-effort dependent software reliability growth models | Huang, C.-Y.; Kuo, S.-Y.; Lyu, M.R.; SY-YEN KUO | IEEE Transactions on Reliability | 156 | 95 | |
312 | 2007 | A Randomized Distributed Algorithm for Peer-to-Peer Data Replication in Wireless Ad Hoc Networks. | Chou, Hong-Zu; Wang, Szu-Chi; Kuo, Sy-Yen; SY-YEN KUO | 13th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2007), 17-19 December, 2007, Melbourne, Victoria, Australia | 2 | 0 | |
313 | 2007 | Guest editorial peer-to-peer communications and applications | Naik, K.; Wei, D.S.L.; Kuo, S.-Y.; Hara, T.; Staab, S.; Spatscheck, O.; Steenstrup, M.; SY-YEN KUO | IEEE Journal on Selected Areas in Communications | 0 | 0 | |
314 | 2007 | Dependabilities in a high-performance quantum real-time distributed system | Chou, Y.-H.; Tsai, I.-M.; Fahn, C.-S.; Horng, S.-J.; Kuo, S.-Y.; SY-YEN KUO | Proceedings - International Conference on Information Technology-New Generations, ITNG 2007 | 1 | 0 | |
315 | 2007 | MAPMon: A Host-Based Malware Detection Tool. | Dai, Shih-Yao; Kuo, Sy-Yen; SY-YEN KUO | 13th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2007), 17-19 December, 2007, Melbourne, Victoria, Australia | 0 | 0 | |
316 | 2006 | STARS: Stateful Threat-Aware Removal System for Self-healing Spyware | M. W. Wu; Y. Huang; Y. M. Wang; S. Y. Kuo; SY-YEN KUO | Pacific Rim International Symposium on Dependable Computing (PRDC'06) | |||
317 | 2006 | Quantum Oblivious Transfer and Fair Digital Transactions | Y. H. Chou; S. Y. Kuo; I. M. Tsai; C. M. Ko; SY-YEN KUO | 2006 Pacific Rim International Symposium on Dependable Computing (PRDC'06) | 7 | 0 | |
318 | 2006 | The Survivability of the Augmented Logical Ring Topology in WDM Networks | Y. C. Chen; C. C. Sue; S. Y. Kuo; SY-YEN KUO | 2006 Pacific Rim International Symposium on Dependable Computing (PRDC'06) | 0 | 0 | |
319 | 2006 | Dithering Skip Modulator with a Novel Load Sensor for Ultra-wide-load High-Efficiency DC-DC Converters | H. W. Huang; H. H. Ho; K. H. Chen; S. Y. Kuo; SY-YEN KUO | 2006 International Symposium on Low Power Electronics and Design(ISLPED 2006) | 7 | 0 | |
320 | 2006 | Extension Headers for IPv6 Anycast | C. Y. Lin; Ing-Yi Chen; S. Y. Kuo; SY-YEN KUO | Computer Communications | 0 | 0 | |
321 | 2006 | A SPT-Based Topology Control Algorithm for Wireless Ad Hoc Networks | S. C. Wang; D. S. L. Wei; S. Y. Kuo; SY-YEN KUO | Computer Communications, special issue on IPv6:the Next Generation Internet Protocol | 14 | 11 | |
322 | 2006 | An Algorithm for Minimum Space Quantum Boolean Circuits Construction | I. M. Tsai; S. Y. Kuo; SY-YEN KUO | Journal of Circuits, Systems, and Computers | 12 | 10 | |
323 | 2006 | Dithering Skip Modulator with a Width Controller for Ultra-wide-load High-Efficiency DC-DC Converters | H. W. Huang; H. H. Ho; K. H. Chen; S. Y. Kuo; SY-YEN KUO | 2006 Custom Integrated Circuits Conference (CICC 2006) | 10 | 0 | |
324 | 2006 | Fast transient DC-DC converter with on-chip compensated error amplifier | H. W. Huang; H. H. Ho; K. H. Chen; S. Y. Kuo; SY-YEN KUO | 32nd European Solid-State Circuits Conference (ESSCIRC 2006) | 27 | 41 | |
325 | 2006 | A Scalable Port Forwarding for P2P-based Wi-Fi Applications | M. W. Wu; Y. Huang; I. Y. Chen; S. K. Lu; S. Y. Kuo; SY-YEN KUO | 2006 International Conference on Wireless Algorithms, Systems, and Applications (WASA 2006) | 0 | 0 | |
326 | 2006 | Quantum Entanglement and Its Applications on Secure Computation | Y. H. Chou; I. M. Tsai; S. Y. Kuo; SY-YEN KUO | 2006 IEEE Conference on Nanotechnology (IEEE-NANO 2006) | 3 | 0 | |
327 | 2006 | Quantum Authentication and Secure Communication Protocols | T. S. Lin; I. M. Tsai; H. W. Wang; S. Y. Kuo; SY-YEN KUO | 2006 IEEE Conference on Nanotechnology (IEEE-NANO 2006) | 6 | 0 | |
328 | 2006 | Enhancing Dependability through Quantum Entanglement In a Real-Time Distributed System | Y. H. Chou; I. M. Tsai; S. Y. Kuo; SY-YEN KUO | IEEE Conference on Nanotechnology (IEEE-NANO 2006) | 3 | 0 | |
329 | 2006 | An Efficient Algorithm for Spare Allocation Problems | H. Y. Lin; F. M. Yeh; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Reliability | 30 | 26 | |
330 | 2006 | Highly Efficient Tri-Mode Control of Buck Converters with Load Sensing Technique | H. W. Huang; C. J. Chen; K. H. Chen; S. Y. Kuo; SY-YEN KUO | 37th IEEE Power Electronics Specialists Conference(PESC 2006) | 8 | 0 | |
331 | 2006 | On-Chip Compensated Error Amplifier for Fast Transient DC-DC Converters | H. W. Huang; H. H. Ho; C. J. Chen; K. H. Chen; S. Y. Kuo; SY-YEN KUO | 2006 International Electro/Information Technology Conference(EIT 2006) | 9 | 0 | |
332 | 2006 | Signaling Overhead Analysis of Distributed Control for Partition-based Protection in WDM Mesh Networks | C. S. Ho; S. Y. Kuo; SY-YEN KUO | IEICE Transactions on Information and Systems | 0 | 0 | |
333 | 2006 | A Two-level TDM Access Protocol for IP Support in WDM Optical Networks | C. Y. Chang; S. T. Cheng; S. Y. Kuo; SY-YEN KUO | Dynamics of Continuous, Discrete and Impulsive Systems Series B: Applications and Algorithms | 0 | 0 | |
334 | 2006 | Current path analysis for electrostatic discharge protection | Liu, H.-Y.; Lin, C.-W.; Chou, S.-J.; Tu, W.-T.; Liu, C.-H.; Chang, Y.-W.; YAO-WEN CHANG ; SY-YEN KUO ; CHUNG-WEI LIN ; CHIH-HUNG LIU | IEEE/ACM International Conference on Computer-Aided Design | 8 | 0 | |
335 | 2006 | Fuzzy preserving virtual polar coordinate space sensor networks for mobility performance consideration | Chen, W.; Kuo, S.-Y.; Chao, H.-C.; SY-YEN KUO | International Journal of Sensor Networks | 13 | 0 | |
336 | 2006 | Current path analysis for electrostatic discharge protection. | Liu, Hung-Yi; Lin, Chung-Wei; Chou, Szu-Jui; Tu, Wei-Ting; Liu, Chih-Hung; Chang, Yao-Wen; CHUNG-WEI LIN ; SY-YEN KUO ; YAO-WEN CHANG | 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006 | 0 | 0 | |
337 | 2006 | IPv6: More than protocol for next generation Internet | Chen, J.-L.; Chao, H.-C.; SY-YEN KUO | Computer Communications | 2 | 1 | |
338 | 2006 | A stateful approach to spyware detection and removal | Wu, M.-W.; Huang, Y.; Wang, Y.-M.; Kuo, S.-Y.; SY-YEN KUO | Proceedings - 12th Pacific Rim International Symposium on Dependable Computing, PRDC 2006 | 8 | 0 | |
339 | 2006 | A scalable port forwarding for P2P-based Wi-Fi applications | Wu, Ming-Wei; Huang, Yennun; Chen, Ing-Yi; Lu, Shyue-Kung; Kuo, Sy-Yen; SY-YEN KUO | Wireless Algorithms, Systems, and Applications, Proceedings | 0 | ||
340 | 2005 | OSA-based Service Platform for All-IPv6 Network Environments | Y. C. Chang; J. L. Chen; H. C. Chao; S. Y. Kuo; SY-YEN KUO | IEEE Journal on Selected Areas in Communications | 6 | 6 | |
341 | 2005 | Automatic Partitioner for Behavior Level Distributed Logic Simulation | K. H. Chang; J. Y. Kang; H. W. Wang; W. T. Tu; Y. J. Yeh; S. Y. Kuo; SY-YEN KUO | 25th IFIP WG 6.1 International Conference (FORTE 2005) | 1 | 0 | |
342 | 2005 | Efficient Exact Spare Allocation via Boolean Satisfiability | F. Yu; C. H. Tsai; Y. W. Huang; H. Y. Lin; D. T. Lee; S. Y. Kuo; SY-YEN KUO | 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT’05) | 5 | 0 | |
343 | 2005 | OBDD-based evaluation of reliability and importance measures for multistate systems subject to imperfect fault coverage | Y. R. Chang; S. V. Amari; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Dependable and Secure Computing | 64 | 49 | |
344 | 2005 | FT-JMS:An open-source fault tolerant Java Messaging Service(JMS) platform | L. K. Chu; Y. Huang; S. Y. Kuo; SY-YEN KUO | 2005 International Conference on Dependable Systems and Networks (DSN-2005) | |||
345 | 2005 | A Secure Quantum Communication Protocol using Insecure Public Channels | I. M. Tsai; C. M. Yu; W. T. Tu; S. Y. Kuo; SY-YEN KUO | 20th International Information Security Conference(SEC’05) | 2 | 0 | |
346 | 2005 | Sensitivity Analysis of Software Reliability for Component-Based Software Applications | J. H. Lo; C. Y. Huang; I. Y.Chen; S. Y. Kuo; M. R. Lyu; SY-YEN KUO | Journal of Systems and Software | 21 | ||
347 | 2005 | Multiple failures restoration in WDM networks | C. S. Ho; I. Y. Chen; S. Y. Kuo; SY-YEN KUO | 2005 International Conference on Networking (ICN'05) | |||
348 | 2005 | All-IP Convergent Communications over Open Service Architecture | Y. C. Chang; J. L. Chen; S. Y. Kuo; SY-YEN KUO | 2005 Wireless Telecommunicatiosn Symposium(WTS 2005) | 0 | 0 | |
349 | 2005 | More Properties of Communication-Induced Checkpointing Protocols with Rollback-Dependency Trackability | J. Tsai, S. Y. Kuo; Y. M. Wang; SY-YEN KUO | Journal of Information Science and Engineering | 3 | 4 | |
350 | 2005 | All-IPv6 Service Interworking Gateway | J.L. Chen; W.H. Chen; S.Y. Kuo; SY-YEN KUO | International Journal of Network Management (ACM) | 5 | 0 | |
351 | 2005 | Efficient Restoration scheduling in survivable WDM networks | C. S. Ho; S. Y. Kuo; SY-YEN KUO | 2005 International Conference on Advanced Communication Technology(ICACT'05) | 0 | 0 | |
352 | 2005 | All-IPv6 service interworking gateway. | Chen, Jiann-Liang; Chen, Wen-Hao; Kuo, Sy-Yen; SY-YEN KUO | Int. Journal of Network Management | 0 | 0 | |
353 | 2005 | Reliability assessment and sensitivity analysis of software reliability growth modeling based on software module structure | Lo, J.-H.; Huang, C.-Y.; Chen, I.-Y.; Kuo, S.-Y.; Lyu, M.R.; SY-YEN KUO | Journal of Systems and Software | 54 | 35 | |
354 | 2005 | Multiple Failures Restoration by Group Protection in WDM Networks. | Ho, Chen-Shie; Chen, Ing-Yi; Kuo, Sy-Yen; SY-YEN KUO | Networking - ICN 2005, 4th International Conference on Networking, ReunionIsland, France, April 17-21, 2005, Proceedings, Part I | 0 | 0 | |
355 | 2005 | A testing framework for Web application security assessment | Huang, Y.-W.; Tsai, C.-H.; Lin, T.-P.; Huang, S.-K.; Lee, D.T.; Kuo, S.-Y.; SY-YEN KUO | Computer Networks | 53 | 34 | |
356 | 2005 | Journal of Information Science and Engineering: Editorial notice | Lee, D.-T.; Amato, N.M.; Chang, S.-F.; Chen, H.H.; Chen, T.; Hsu, T.-S.; Hwang, J.-N.; Kuo, S.-Y.; Kuo, T.-W.; Li, C.-S.; Tokuyama, T.; Wing, J.; Wu, T.-C.; Yen, J.; SY-YEN KUO | Journal of Information Science and Engineering | 0 | ||
357 | 2005 | Guest editorial wireless overlay networks based on mobile IPv6 | Chao, H.-C.; Huang, N.-F.; SY-YEN KUO ; Perkins, C.E.; St?ttgen, H.; Bux, W. | IEEE Journal on Selected Areas in Communications | 0 | 0 | |
358 | 2005 | An Evaluation of the Virtual Router Redundancy Protocol Extension with Load Balancing. | Kuo, Jen-Hao; Te, Siong-Ui; Liao, Pang-Ting; Huang, Chun-Ying; Tsai, Pan-Lung; Lei, Chin-Laung; Kuo, Sy-Yen; Huang, Yennun; CHIN-LAUNG LEI ; ZSEHONG TSAI ; SY-YEN KUO | 11th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2005), 12-14 December, 2005, Changsha, Hunan, China | 5 | 0 | |
359 | 2004 | Dependable WDM Networks with Edge-Disjoint P-cycles | C. C. Sue; M. S. Shieh; Y. C. Chen; S. Y. Kuo; SY-YEN KUO | 2004 International Symposium on Parallel and Distributed Processing and Applications (ISPA'04) | 1 | 0 | |
360 | 2004 | A Reservation-Based Multicast Protocol for WDM Optical Star Networks | K. Naik; D. S. L. Wei; D. Krizanc; S. Y. Kuo; SY-YEN KUO | IEEE Journal of Selected Areas on Communications (JSAC) | 10 | 12 | |
361 | 2004 | Gatekeeper: Monitoring Auto-Start Extensibility Points (ASEPs) for Spyware Management | Y. M. Wang; R. Roussev; C. Verbowski; A. Johnson; M. W. Wu; Y. Huang; S. Y. Kuo; SY-YEN KUO | 18th Large Installation System Administration Conference (USENIX _LISA '04) | |||
362 | 2004 | Techniques to Reduce Synchronization in Distributed Parallel Logic Simulation | K. H. Chang; W. T. Tu; H. W. Wang; Y. J. Yeh; S. Y. Kuo; SY-YEN KUO | 16th IASTED International Conference on Parallel and Distributed Computing and Systems(PDCS'04) | |||
363 | 2004 | A Temporal Assertion Extension to Verilog | K. H. Chang; W. T. Tu; Y. J. Yeh; S. Y. Kuo; SY-YEN KUO | 2nd International Symposium on Automated Technology for Verification and Analysis(ATVA04) | 1 | 0 | |
364 | 2004 | An Efficient Testing Method for Quantum Boolean Circuits | C. Y. Lu; S. A. Wang; I. M. Tsai; S. Y. Kuo; SY-YEN KUO | 2004 ERATO conference on Quantum Information Science(EQIS'04) | |||
365 | 2004 | Adaptive Communication-Induced Checkpointing Protocols with Domino-Effect Freedom | J. Tsai; C. Y. Lin; S. Y. Kuo; SY-YEN KUO | Journal of Information Science and Engineering | 3 | 1 | |
366 | 2004 | Experimental Realization of an NMR Quantum Switch | I. M. Tsai; S. Y. Kuo; S. L. Huang; Y. C. Lin; T. T. Chen; SY-YEN KUO | 2004 ERATO conference on Quantum Information Science(EQIS’04) | |||
367 | 2004 | Software Reliability Growth Models Incorporating Fault Dependency with Various Debugging Time Lags | C. Y. Huang; C. T. Lin; S. Y. Kuo; M. R. Lyu; SY-YEN KUO | 28th Annual International Computer Software and Applications Conference (COMPSAC'2004) | 29 | 0 | |
368 | 2004 | System Level Assertion-Based Verification Environment for PCI/PCI-X and PCI-Express | C. C; Yu; K. H. Chang; Y. J. Yeh; S. Y. Kuo; SY-YEN KUO | 15th VLSI Design/CAD Symposium | 5 | 0 | |
369 | 2004 | Automatic Partitioner for Distributed Parallel Logic Simulation | K. H. Chang; H. W. Wang; Y. J. Yeh; S. Y. Kuo; SY-YEN KUO | 15th VLSI Design/CAD Symposium | 4 | ||
370 | 2004 | Distributed Fault Tolerant Embedding of Several Topologies in Hypercubes | S. C. Wang; Y. R. Leu; S. Y. Kuo; SY-YEN KUO | Journal of Information Science and Engineering | 13 | 2 | |
371 | 2004 | Verifying Web applications using bounded model checking | Y. W. Huang; Y. Fang; C. Hang; C. H. Tsai; D. T. Lee; S. Y. Kuo; SY-YEN KUO | International Conference on Dependable Systems and Networks (DSN-2004) | 33 | 0 | |
372 | 2004 | Securing Web Application Code by Static Analysis and Runtime Protection | Y. W. Huang; Y. Fang; C. Hang; C. H. Tsai; D. T. Lee; S. Y. Kuo; SY-YEN KUO | Thirteenth International World Wide Web Conference (WWW-2004) | 372 | 0 | |
373 | 2004 | Dynamic Sub-mesh Protection under Dynamic Traffic Demands in Dense WDM Networks | C. S. Ho; I. Y. Chen; S. Y. Kuo; SY-YEN KUO | 18th International Conference on Advanced Information Networking and Applications (AINA-2004) | 5 | 0 | |
374 | 2004 | Optimal Allocation of Testing-Resource Considering Cost, Reliability, Testing-Effort | C. Y. Huang; J. H. Lo; S. Y. Kuo; M. R. Lyu; SY-YEN KUO | 2004 Pacific Rim International Symposium on Dependable Computing (PRDC'04) | 48 | 22 | |
375 | 2004 | Improvements for Dynamic Sub-mesh Restoration Scheme in Dense WDM Networks | C. S. Ho; I. Y. Chen; S. Y. Kuo; SY-YEN KUO | International Conference on Information Networking (ICOIN-2004) | 0 | 0 | |
376 | 2004 | Computing System Failure Frequencies and Reliability Importance Measures using OBDD | Y. R. Chang; S. V. Amari; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Computers | 65 | 48 | |
377 | 2004 | IC HTOL Test Stress Condition Optimization. | Peng, Brian; Chen, Ing-Yi; Kuo, Sy-Yen; Bolger, Colin; SY-YEN KUO | 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 10-13 October 2004, Cannes, France, Proceedings | 0 | 0 | |
378 | 2004 | Load-Balanced Anycast Routing. | Lin, Ching-Yu; Lo, Jung-Hua; Kuo, Sy-Yen; SY-YEN KUO | 10th International Conference on Parallel and Distributed Systems, ICPADS 2004, Newport Beach, CA, USA, July 7-9, 2004 | 0 | 0 | |
379 | 2004 | An XOR Based Reed-Solomon Algorithm for Advanced RAID Systems. | Hsieh, Ping-Hsun; Chen, Ing-Yi; Lin, Yu-Ting; Kuo, Sy-Yen; SY-YEN KUO | 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 10-13 October 2004, Cannes, France, Proceedings | 0 | 0 | |
380 | 2004 | An Efficient Perfect Algorithm for Memory Repair Problems. | Lin, Hung-Yau; Yeh, Fu-Min; Chen, Ing-Yi; Kuo, Sy-Yen; SY-YEN KUO | 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 10-13 October 2004, Cannes, France, Proceedings | 0 | 0 | |
381 | 2004 | Securing web application code by static analysis and runtime protection. | Huang, Yao-Wen; Yu, Fang; Hang, Christian; Tsai, Chung-Hung; Lee, Der-Tsai; Kuo, Sy-Yen; SY-YEN KUO | Proceedings of the 13th international conference on World Wide Web, WWW 2004, New York, NY, USA, May 17-20, 2004 | 373 | 0 | |
382 | 2004 | Software Reliability Growth Models Incorporating Fault Dependency with Various Debugging Time Lags. | Huang, Chin-Yu; Lin, Chu-Ti; Kuo, Sy-Yen; Lyu, Michael R.; Sue, Chuan-Ching; SY-YEN KUO | 28th International Computer Software and Applications Conference (COMPSAC 2004), Design and Assessment of Trustworthy Software-Based Systems, 27-30 September 2004, Hong Kong, China, Proceedings | 0 | 0 | |
383 | 2004 | Optimal Allocation of Testing-Resource Considering Cost, Reliability, and Testing-Effort. | Huang, Chin-Yu; Lo, Jung-Hua; Kuo, Sy-Yen; Lyu, Michael R.; SY-YEN KUO | 10th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2004), 3-5 March 2004, Papeete, Tahiti | 0 | 0 | |
384 | 2004 | SPT-based topology algorithm for constructing power efficient wireless ad hoc networks. | Wang, Szu-Chi; Wei, David S. L.; Kuo, Sy-Yen; SY-YEN KUO | Proceedings of the 13th international conference on World Wide Web - Alternate Track Papers & Posters, WWW 2004, New York, NY, USA, May 17-20, 2004 | 0 | 0 | |
385 | 2003 | Efficient Algorithms for Selection and Sorting of Large Distributed Files on de Bruijn and Hypercube Structures | D. S. L. Wei; S. Rajasekaran; K. Naik; S. Y. Kuo; SY-YEN KUO | International Journal of Foundations of Computer Science | 1 | 0 | |
386 | 2003 | A Localized Topology Control Algorithm for Constructing Power Efficient Wireless Ad Hoc Networks | S. C. Wang; David S.L. Wei; S. Y. Kuo; SY-YEN KUO | 2003 IEEE Global Telecommunications Conference (GLOBECOM'03) | |||
387 | 2003 | An Efficient Time-based Checkpointing Protocol for Mobile Computing Systems over Mobile IP | C. Y. Lin; S. C. Wang; S. Y. Kuo; SY-YEN KUO | ACM/Baltzer Journal of Mobile Networks and Applications | 7 | 7 | |
388 | 2003 | Design and Analysis of a Multicasting and Fault-Tolerant Optical Crossconnect for All-Optical Networks | C. Y. Chang; S. Y. Kuo; SY-YEN KUO | Photonic Network Communications | 3 | 1 | |
389 | 2003 | Sensitivity Analysis of Software Reliability for Component-Based Software Applications | J. H. Lo; C. Y. Huang; S. Y. Kuo; M. R. Lyu; SY-YEN KUO | 27th Annual International Computer Software and Applications Conference (COMPSAC'2003) | 21 | 0 | |
390 | 2003 | A Survey and Comparison of Fault-Tolerant Optical Crossconnects for All-Optical Networks | C. Y. Chang; C. C. Sue; S. Y. Kuo; SY-YEN KUO | Journal of Internet Technology | |||
391 | 2003 | Automatic Partitioner for Distributed Simulation | K. H. Chang; W. T. Tu; Y. J. Yeh; S. Y. Kuo; SY-YEN KUO | 14th VLSI Design/CAD Symposium | |||
392 | 2003 | A PCI-X Verification Environment Using C and Verilog | K. H. Chang; Y. C. Su; W. T. Tu; Y. J. Yeh; S. Y. Kuo; SY-YEN KUO | 14th VLSI Design/CAD Symposium | |||
393 | 2003 | A Tag-Augmented Temporal Logic Checker | K. H. Chang; W. T. Tu; Y. J. Yeh; S. Y. Kuo; SY-YEN KUO | 14th VLSI Design/CAD Symposium | |||
394 | 2003 | Communication Strategies for Heartbeat-Style Failure Detectors in Wireless Ad Hoc Networks | S. C. Wang; S. Y. Kuo; SY-YEN KUO | International Conference on Dependable Systems and Networks (DSN-2003) | 21 | 0 | |
395 | 2003 | Unified Scheme of Some Nonhomogenous Poisson Process Models for Software Reliability Estimation | C. Y. Huang; M. R. Lyu; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Software Engineering | 209 | 156 | |
396 | 2003 | An Efficient Restoration Scheme Using Protection Domain for Dynamic Traffic Demands in WDM Networks | C. C. Ho; I. Y. Chen; S. Y. Kuo; SY-YEN KUO | 17th International Conference on Information Networking (ICOIN-17) | 0 | 0 | |
397 | 2003 | 數位軟體可靠度分析評估技術研究 | 郭斯彥 | ||||
398 | 2003 | Guest editors' introduction: Special issue on "Mobile networking through IP" | Kuo, S.-Y.; Chao, H.-C.; SY-YEN KUO | Mobile Networks and Applications | 0 | 0 | |
399 | 2003 | Design and Analysis of a Multicasting and Fault-Tolerant Optical Crossconnect for All-Optical Networks. | Chang, Chi-Yuan; Kuo, Sy-Yen; SY-YEN KUO | Photonic Network Communications | 0 | 0 | |
400 | 2003 | A two-level TDM access protocol for IP support in WDM optical networks | Chang, C.-Y.; Kuo, S.-Y.; SY-YEN KUO | Proceedings of the International Conference on Parallel Processing Workshops | 0 | 0 | |
401 | 2002 | OBDD-based Evaluation of K-terminal Network Reliability | F. M. Yeh; S. K. Lu; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Reliability | 90 | 61 | |
402 | 2002 | Analyzing network reliability with imperfect nodes using OBDD | F. M. Yeh; H. Y. Lin; S. Y. Kuo; SY-YEN KUO | 2002 Pacific Rim International Symposium on Dependable Computing (PRDC'02) | 15 | 0 | |
403 | 2002 | An Optimization-based Partition Processing Approach for Distributed Shared Memory Systems | J. W. Lin; S. Y. Kuo; SY-YEN KUO | Journal of Information Science and Engineering, Special issue on Parallel and Distributed Systems | |||
404 | 2002 | A Reservation Based Medium Access Control Protocol with Multicast Support for Optical Star Networks | K. Naik; David S.L. Wei; D. Krizanc; S. Y. Kuo; SY-YEN KUO | 2002 IEEE Global Telecommunications Conference (GLOBECOM'02) | 1 | 0 | |
405 | 2002 | Scalable and High Throughput Digital Switching in the Quantum Domain | I. M. Tsai; S. Y. Kuo; SY-YEN KUO | IEEE International Conference on Software, Telecommunicatoins and Computer Networks (SoftCom'02) | |||
406 | 2002 | An Optimization-based Multiple-Voltage Scaling Technique for Low Power CMOS Digital Design | Y. J. Yeh; S. Y. Kuo; SY-YEN KUO | Journal of Circuits, Systems and Computers | 5 | 4 | |
407 | 2002 | Reliability and Cost Analysis of a Multicasting and Fault-Tolerant Optical Crossconnect for All-Optical Networks | C. Y. Chang; S. Y. Kuo; SY-YEN KUO | IEEE International Conference on Software, Telecommunicatoins and Computer Networks (SoftCom'02) | |||
408 | 2002 | Digital Switching in the Quantum Domain | I. M. Tsai; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Nanotechnology | 30 | 30 | |
409 | 2002 | Design and Analysis of a Backbone Architecture with TDMA Mechanism for IP Optical Networking | C. Y. Chang; S. Y. Kuo; SY-YEN KUO | Journal of Network and Systems Management | 1 | 0 | |
410 | 2002 | Analysis of Incorporating Logistic Testing-Effort Function into Software Reliability Modeling | C. Y. Huang; S. Y. Kuo; SY-YEN KUO | IEEE Transactions on Reliability | 157 | 112 | |
411 | 2002 | Reliable Multicast based on k-Connected Graph | C. Y. Lin; S. Y. Kuo; SY-YEN KUO | IASTED International Conference on Internet and Multimedia Systems and Applications (IMSA 2002) | |||
412 | 2002 | An Efficient Time-based Checkpointing Protocol for Mobile Computing Systems over Wide Area Networks | C. Y. Lin; S. C. Wang; S. Y. Kuo; SY-YEN KUO | 2002 European Conference on Parallel Processing (Euro-Par'02) | 1 | 0 | |
413 | 2002 | Efficient Selection and Sorting Schemes Using Coteries for Processing Large Distributed Files | D. S. L. Wei; S. Rajasekaran; Z. Cheng; K. Naik; S. Y. Kuo; SY-YEN KUO | Journal of Parallel and Distributed Computing | 4 | 1 | |
414 | 2002 | Direction-Aware Routing Protocol for Mobile Ad Hoc Network | W. H. Chung; S. Y. Kuo; SY-YEN KUO | International Conference of Communications, Circuits and Systems (ICCCAS-2002) | 2 | 0 | |
415 | 2002 | Design and analysis of accelerative preallocation protocol for WDM star-coupled networks | C. C. Sue; S. Y. Kuo; SY-YEN KUO | IEEE Journal of Lightwave Technology | 15 | 10 | |
416 | 2002 | Multicasting Optical Crossconnects with Fault Tolerance Mechanism and Wavelength Routing in All-Optical Networks | C. Y. Chang; S. Y. Kuo; SY-YEN KUO | 16th International Conference on Information Networking (ICOIN-16) | 3 | 0 | |
417 | 2002 | 行動電子商務系統關鍵技術之研發與實作─子計畫三:高可擴充性與可用性行動電子商務中介軟體技術之研發與實作(1/3) | 郭斯彥 | ||||
418 | 2002 | 支援協同計算行動代理人平台之研發(1/3) | 郭斯彥 | ||||
419 | 2001 | Novel Fault Tolerance Techniques for High Capacity RAMs | C. H. Hsu; S. K. Lu; S. Y. Kuo; SY-YEN KUO | 2001 Pacific Rim International Symposium on Dependable Computing (PRDC'01) | 4 | 0 | |
420 | 2001 | Failure Detection Mechanism for Distributed Object Computing Using CORBA | W. C. Su; S. C. Wang; S. Y. Kuo; SY-YEN KUO | 2001 Pacific Rim International Symposium on Dependable Computing (PRDC'01) | 0 | 0 | |
421 | 2001 | An Algorithm for Quantum Boolean Circuit Construction | I. M. Tsai; S. Y. Kuo; SY-YEN KUO | 2001 IEEE Conference on Nanotechnology (IEEE-NANO 2001) | |||
422 | 2001 | A Compiled-Code Simulation Technique for RTL Designs | Y. J. Yeh; K. H Chang; M. T. Chen,; S. Y. Kuo; SY-YEN KUO | 12th VLSI Design/CAD Symposium | |||
423 | 2001 | Modeling Fault Detection and Correction Processes in Software Reliability Models | J. H. Lo; S. Y. Kuo; C. Y. Huang; SY-YEN KUO | 5th International Conference on Reliability, Maintainability and Safety (ICRMS-2001) | |||
424 | 2001 | Restoration from Multiple Faults in WDM Networks without Wavelength Conversion | C. C. Sue; S. Y. Kuo; SY-YEN KUO | International Conference on Networking (ICN-01) | 3 | 0 | |
425 | 2001 | An Optimization-Based Low-Power Voltage Scaling Techniques Using Multiple Supply Voltages | Y. J. Yeh; S. Y. Kuo; SY-YEN KUO | 2001 International Symposium on Circuits and Systems(ISCAS-2001) | 14 | 0 | |
426 | 2001 | Framework for modeling software reliability, using various testing-efforts and fault-detection rates | Kuo, S.-Y.; Huang, C.-Y.; Lyu, M.R.; SY-YEN KUO | IEEE Transactions on Reliability | 122 | 80 | |
427 | 2001 | Converter-free multiple-voltage scaling techniques for low-power CMOS digital design | Yeh, Y.-J.; Kuo, S.-Y.; Jou, J.-Y.; SY-YEN KUO | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 23 | 0 | |
428 | 2000 | Resolving error propagation in distributed systems | Lin, J.-W.; Kuo, S.-Y.; SY-YEN KUO | Information Processing Letters | 5 | 4 | |
429 | 2000 | A new BiCMOS increased full-swing converter for low-internal-voltage ULSI systems | Chen, K.-H.; Wang, C.-S.; Kuo, S.-Y.; SY-YEN KUO | IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications | 1 | 0 | |
430 | 1999 | Distributed fault-tolerant ring embedding and reconfiguration in hypercubes | Leu, Y.-R.; Kuo, S.-Y.; SY-YEN KUO | IEEE Transactions on Computers | 22 | 17 | |
431 | 1999 | Evaluations of Domino-Free Communication-Induced Checkpointing Protocols. | Tsai, Jichiang; Wang, Yi-Min; SY-YEN KUO | Inf. Process. Lett. | 22 | 15 | |
432 | 1999 | Determining Terminal-Pair Reliability Based on Edge Expansion Diagrams Using OBDD | Kuo, S.-Y.; Lu, S.-K.; Yefa, F.-M.; SY-YEN KUO | IEEE Transactions on Reliability | 140 | 102 | |
433 | 1999 | A cluster-based checkpointing scheme for mobile computing on wide area network. | Lin, Jeng-Ping; Kuo, Sy-Yen; Huang, Yennun; SY-YEN KUO | Mobile Networks and Computing, Proceedings of a DIMACS Workshop, Piscataway, New Jersey, USA, March 25-27, 1999 | 0 | 0 | |
434 | 1999 | Efficient input and output for scientific simulations | SY-YEN KUO ; Winslett M; Cho Y; Lee J; Chen Y. | Proceedings of the Annual Workshop on I/O in Parallel and Distributed Systems, IOPADS | 8 | 0 | |
435 | 1999 | ─行動企業網路上之高效能資訊服務-總計畫(II) | 郭斯彥 | ||||
436 | 1998 | Double Edge Triggered Flip-Flop | Sy-Yen Kuo; Tzi-Dar Chiueh; Ke-Horng Chen; SY-YEN KUO | ||||
437 | 1998 | 雙緣觸發式正反器電路 | SY-YEN KUO ; 郭斯彥; 陳科宏; 闕志達 | ||||
438 | 1998 | 核電廠安全系統軟體可靠度量化之評估 | 郭斯彥 | ||||
439 | 1998 | Theoretical analysis for communication-induced checkpointing protocols with rollback-dependency trackability | Tsai, J.; Kuo, S.-Y.; Wang, Y.-M.; SY-YEN KUO | IEEE Transactions on Parallel and Distributed Systems | 43 | 33 | |
440 | 1998 | Static power analysis for power-driven synthesis | Yuan, S.-Y.; Chen, K.-H.; Jou, J.-Y.; Kuo, S.-Y.; SY-YEN KUO | IEE Proceedings: Computers and Digital Techniques | 0 | 0 | |
441 | 1998 | A new technique for optimization problems in graph theory | Yuan, S.-Y.; Kuo, S.-Y.; SY-YEN KUO | IEEE Transactions on Computers | 17 | 11 | |
442 | 1997 | A New Probabilistic Induction Method | Hou, R.-H.; Hong, T.-P.; Tseng, S.-S.; Kuo, S.-Y.; SY-YEN KUO | Journal of Automated Reasoning | 10 | 10 | |
443 | 1997 | Variable ordering for ordered binary decision diagrams by a divide-and-conquer approach | Yeh, F.-M.; Kuo, S.-Y.; SY-YEN KUO | IEE Proceedings: Computers and Digital Techniques | 3 | 1 | |
444 | 1997 | Optimal release times for software systems with scheduled delivery time based on the HGDM | Hou, R.-H.; Kuo, S.-Y.; Chang, Y.-P.; SY-YEN KUO | IEEE Transactions on Computers | 33 | 26 | |
445 | 1997 | Gauss-elimination-based generation of multiple seed-polynomial pairs for LFSR | Huang, L.-R.; Jou, J.-Y.; Kuo, S.-Y.; SY-YEN KUO | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 33 | 17 | |
446 | 1997 | Multiaccess processor interconnection using subcarrier and wavelength division multiplexing | Ko, C.-K.; Kuo, S.-Y.; SY-YEN KUO | Journal of Lightwave Technology | 7 | 7 | |
447 | 1997 | Fault-tolerant interleaved memory systems with two-level redundancy | Lu, S.-K.; Kuo, S.-Y.; Wu, C.-W.; SY-YEN KUO | IEEE Transactions on Computers | 4 | 4 | |
448 | 1997 | 飛行控制系統容錯計算機之設計及效能分析 | 郭斯彥 | ||||
449 | 1997 | 分散式共用記憶體系統-架構、演算法與發展環境─總計畫(Ⅲ) | 郭斯彥 | ||||
450 | 1997 | Full-swing BiCMOS schmitt trigger | Wang, C.-S.; Yuan, S.-Y.; Kuo, S.-Y.; SY-YEN KUO | IEE Proceedings: Circuits, Devices and Systems | 13 | 7 | |
451 | 1996 | Needed resources for software module test, using the hyper-geometric software reliability growth model. | Hou, Rong-Huei; Kuo, Sy-Yen; Chang, Yi-Ping; SY-YEN KUO | IEEE Trans. Reliability | 33 | 26 | |
452 | 1996 | A wavelength encoded multichannel optical bus for local area networks | Chen, C.-C.; Wang, L.A.; LON A. WANG ; SY-YEN KUO | Journal of Lightwave Technology | 2 | 2 | |
453 | 1996 | Optimal release policy for hyper-geometric distribution software-reliability growth model | Hou, R.-H.; Kuo, S.-Y.; Chang, Y.-P.; SY-YEN KUO | IEEE Transactions on Reliability | 18 | 0 | |
454 | 1996 | 飛行控制系統容錯計算機之分析及設計 | 郭斯彥 | ||||
455 | 1996 | A fault-tolerant tree communication scheme for hypercube systems | Leu, Y.-R.; Kuo, S.-Y.; SY-YEN KUO | IEEE Transactions on Computers | 13 | 6 | |
456 | 1996 | 分散式共用記憶體系統-架構、演算法與發展環境(II)─分散式共用記憶體系統-架構、演算法與發展環境總計畫(Ⅱ) | 郭斯彥 | ||||
457 | 1996 | A Highly Available Partition-Processing Protocol for Distributed Shared Memory Systems. | Lin, Jenn-Wei; Kuo, Sy-Yen; SY-YEN KUO | Euro-Par '96 Parallel Processing, Second International Euro-Par Conference, Lyon, France, August 26-29, 1996, Proceedings, Volume I | 0 | 0 | |
458 | 1995 | 高可靠度平行計算機結構與應用 | 郭斯彥 | ||||
459 | 1995 | Delay models for the sea-of-wires array synthesis system. | Chen, Ing-Yi; Chen, Geng-Lin; Kuo, Sy-Yen; SY-YEN KUO | 1995 European Design and Test Conference, ED&TC 1995, Paris, France, March 6-9, 1995 | 0 | 0 | |
460 | 1995 | 多晶片模組電性分析、設計及測試研究─多晶片模組電性分析、設計及測試研究:子計畫四-多晶片模組整合測試與偵錯系統 | 郭斯彥 | ||||
461 | 1995 | ─電腦系統中心:分散式共用記憶体系統一架構、演算法與發展環境─總計劃(I) | 郭斯彥 | ||||
462 | 1994 | Error Recovery in Parallel Systems of Pipelined Processors with Caches | Lin, J. P.; Wang, S. C.; 郭斯彥 ; Kuo, Sy-Yen | The 1994 International Conference on Parallel Processing | |||
463 | 1994 | ACUNI:A 155.52Mbit/s Transceiver Chip for ATM Cell-Based User-Network Interface | Chen, I. Y.; Chen, C. C.; Lin, W. J.; 郭斯彥 ; Kuo, Sy-Yen | The 5th VLSI Design/CAD Symposium | |||
464 | 1994 | 多晶片模組設計自動化與測試系統-子計畫四: 多晶片模組可測性設計與偵錯 | 郭斯彥 | ||||
465 | 1994 | Error Recovery in Parallel Systems of Pipelined Processors with Caches. | Lin, Jeng-Ping; Wang, Shih-Chang; Kuo, Sy-Yen; SY-YEN KUO | Proceedings of the 1994 International Conference on Parallel Processing, North Carolina State University, NC, USA, August 15-19, 1994. Volume I: Architecture. | 0 | 0 | |
466 | 1993 | Parallel Garbage Collection and Graph Reducer | Kuo, W. Y.; 郭斯彥 ; Kuo, Sy-Yen | The 5th IEEE Symposium on Parallel and Distributed Processing | |||
467 | 1993 | Matrix Operations on Hypercube Multiprocessors in the Presence of Link Failures | Leu, Y. R.; 郭斯彥 ; Kuo, Sy-Yen | The 3rd International Conference for Young Computer Scientists | |||
468 | 1993 | A Hopfield Network Algorithm for the Bipartite Subgraph Problem | Lai, J. S.; 郭斯彥 ; Kuo, Sy-Yen | The 3rd International Conference for Young Computer Scientists | |||
469 | 1993 | The Sea-of-Wires Array Synthesis System | Chen, I. Y.; Chen, G. L.; Hill, F. J.; 郭斯彥 ; Kuo, Sy-Yen | The 30th ACM/IEEE Design Automation Conference | |||
470 | 1993 | Artificial Neural Networks for the Bipartite and K-Partite Subgraph Problems | Lai, J. S.; Ko, Y. J.; 郭斯彥 ; Kuo, Sy-Yen | Proceedings of the Parallel Architectures and Languages Europe | |||
471 | 1993 | Parallel Garbage Collection and Graph Reducer. | Kuo, Wen-Yan; Kuo, Sy-Yen; SY-YEN KUO | Proceedings of the Fifth IEEE Symposium on Parallel and Distributed Processing, SPDP 1993, Dallas, Texas, USA, December 2-5, 1993. | 0 | 0 | |
472 | 1993 | 多晶片模組之可測性設計與偵錯環境 | 郭斯彥 | ||||
473 | 1993 | 多晶片模組設計自動化與測試系統(I)總計畫 | 龐台銘; 郭斯彥 | ||||
474 | 1993 | 分散即時系統之偵錯、重組與回復 | 郭斯彥 | ||||
475 | 1993 | Artificial Neural Networks for the Bipartite and K-partite Subgraph Problems. | Lai, Jenn-Shiang; Ko, Young-Ja; Kuo, Sy-Yen; SY-YEN KUO | PARLE '93, Parallel Architectures and Languages Europe, 5th International PARLE Conference, Munich, Germany, June 14-17, 1993, Proceedings | 0 | 0 | |
476 | 1993 | The Sea-of-Wires Array Aynthesis System. | Chen, Ing-Yi; Chen, Geng-Lin; Hill, Fredrick J.; Kuo, Sy-Yen; SY-YEN KUO | Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993. | 1 | 0 | |
477 | 1993 | 多晶片模組設計自動化與測試系統總計劃(I) | 林呈祥; 郭斯彥 | ||||
478 | 1993 | Design and Analysis of Defect Tolerant Hierarchical Sorting Networks | Kuo, S.-Y.; Liang, S.-C.; SY-YEN KUO | IEEE Transactions on Very Large Scale Integration (VLSI) Systems | 1 | 0 | |
479 | 1993 | YOR: A Yield-Optimizing Routing Algorithm by Minimizing Critical Areas and Vias | SY-YEN KUO | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | |||
480 | 1992 | Testable Design of Systolic Arrays for Discrete Cosine Transform | Lu, S. K.; Wu, C. W.; 郭斯彥 ; Kuo, Sy-Yen | The 3rd VLSI/CAD Workshop | |||
481 | 1992 | Computer-Aided Modeling and Evaluation of Reconfigurable VLSI Processor Arrays with VHDL | Wang, K.; Kuo, S.-Y.; SY-YEN KUO | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 7 | 7 | |
482 | 1992 | Fault Diagnosis and Spare Allocation for Yield Enhancement in Large Reconfigurable PLA's | Kuo, S.-Y.; Fuchs, W.K.; SY-YEN KUO | IEEE Transactions on Computers | 14 | 6 | |
483 | 1992 | Concurrent Error Detection and Correction in Real-Time Systolic Sorting Arrays | Kuo, S.Y.; Liang, S.C.; SY-YEN KUO | IEEE Transactions on Computers | 2 | 3 | |
484 | 1992 | Optimal Group Diagnosis Procedures for VLSI/WSI Array Architectures | Wang, Kung; Kuo, Sy-Yen | 1992 International Conference on Wafer Scale Integration | |||
485 | 1992 | Efficient Reconfiguration Algorithms for Degradable VLSI/WSI Arrays | Kuo, S.-Y.; Chen, I.-Y.; SY-YEN KUO | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 74 | 69 | |
486 | 1992 | Design of Easily Testable VLSI Arrays for Discrete Cosine Transform | Lu, S. K.; Wu, C. W.; 郭斯彥 ; Kuo, Sy-Yen | The 26th Asilomar Conference on Signals, Systems and Computers | |||
487 | 1991 | Design and Evaluation of Fault-Tolerant Interleaved Memory Systems | 郭斯彥 ; Louri, A.; Liang, S. C.; Lu, S. K.; Wu, C. W.; Kuo, Sy-Yen | 1991 International Conference on Parallel Processing | |||
488 | 1991 | VHDL-Based Design and Analysis of Defect Tolerant VLSI/WSI Array Architectures | Kuo, Sy-Yen ; Wang, Kung | The 1991 International Conference on Wafer Scale Integration | |||
489 | 1991 | Efficient Parallel Sorting and Merging Algorithms for Two-Dimensional Mesh-Connected Processor Arrays | 郭斯彥 ; Liang, S. C.; Kuo, Sy-Yen | The 1991 International Conference on Parallel Processing | |||
490 | 1991 | Efficient Reconfiguration Algorithms for Degradable VLSI/WSI Arrays | 郭斯彥 ; Chen, I. Y.; Kuo, Sy-Yen | 1991 International Conference on Wafer Scale Integration | |||
491 | 1991 | Defect-Tolerant Hierarchical Sorting Networks for Wafer-Scale Integration | SY-YEN KUO | IEEE Journal of Solid-State Circuits | |||
492 | 1990 | Concurrent Error Detection and Correction in Real Time Systolic Sorting Arrays | Liang, S. C.; 郭斯彥 ; Kuo, Sy-Yen | The 20th IEEE Annual International Symposium on Fault-Tolerant Computing | |||
493 | 1990 | Fault Tolerant VLSI Systolic Median Filters | Liang, S. C.; 郭斯彥 ; Kuo, Sy-Yen | The 4th Annual Symposium on | |||
494 | 1990 | Fault Tolerant WSI Sorting Networks | Liang, S. C.; 郭斯彥 ; Kuo, Sy-Yen | 1990 IEEE International Conference on Wafer-Scale Integration | |||
495 | 1990 | Reconfigurable Cube-Connected Cycles Architectures. | Kuo, Sy-Yen; Fuchs, W. Kent; SY-YEN KUO | J. Parallel Distrib. Comput. | 13 | 8 | |
496 | 1990 | Concurrent error detection and correction in real-time systolic sorting arrays. | Liang, Sheng-Chiech; Kuo, Sy-Yen; SY-YEN KUO | Proceedings of the 20th International Symposium on Fault-Tolerant Computing, FTCS 1990, Newcastle Upon Tyne, UK, 26-28 June, 1990 | 0 | 0 | |
497 | 1990 | Fault diagnosis in reconfigurable VLSI and WSI processor arrays. | Kuo, Sy-Yen; Wang, Kuochen; SY-YEN KUO | VLSI Signal Processing | 1 | 0 | |
498 | 1989 | A VLSI Design of Systolic Tree-Searched Vector Quantizer for EOS on Board SAR Processor | Fang, W. C.; Chang, C. Y.; 郭斯彥 ; Nixon, R. H.; Curlander, J. C.; Kuo, Sy-Yen | The Government Microcircuit Applications Conference(1989.11) | |||
499 | 1989 | Fault detection and location in reconfigurable VLSI arrays. | Wang, Kuochen; Kuo, Sy-Yen; SY-YEN KUO | 1989 IEEE International Conference on Computer-Aided Design, ICCAD 1989, Santa Clara, CA, USA, November 5-9, 1989. Digest of Technical Papers | 0 | 0 | |
500 | 1989 | Fault Diagnosis in VLSI/WSI Processor Arrays | Kuo, Sy-Yen ; Wang, Kung | 1989 IEEE International Conference On Wafer-Scale Integration | |||
501 | 1988 | Spare Allocation and Reconfiguration in Large Area VLSI | 郭斯彥 ; Fuchs, W. K.; Kuo, Sy-Yen | 25th ACM/IEEE Design Automation Conference | |||
502 | 1987 | Fault Diagnosis and Spare Allocation for Yield Enhancement in Large Reconfigurable PLAs | 郭斯彥 ; Fuchs, W. K.; Kuo, Sy-Yen | 1987 IEEE International Test Conference | |||
503 | 1987 | Fault Tolerance Techniques for Systolic Arrays. | Abraham, Jacob A.; Banerjee, Prithviraj; Chen, Chien-Yi; Fuchs, W. Kent; Kuo, Sy-Yen; Reddy, A. L. Narasimha; SY-YEN KUO | IEEE Computer | 58 | 0 | |
504 | 1987 | 可重繞之積體電路平行計算機結構的電腦輔助設計與評估 | 郭斯彥 | ||||
505 | 1986 | Efficient Spare Allocation in Reconfigurable Arrays | 郭斯彥 ; Fuchs, W. K.; Kuo, Sy-Yen | 23rd ACM/IEEE Design Automation Conference | |||
506 | 1986 | Efficient spare allocation in reconfigurable arrays. | Kuo, Sy-Yen; Fuchs, W. Kent; SY-YEN KUO | Proceedings of the 23rd ACM/IEEE Design Automation Conference. Las Vegas, NV, USA, June, 1986. | 0 | 0 | |
507 | 1986 | Reconfigurable Cube-Connected Cycles Architectures | Banerjee, P.; 郭斯彥 ; Fuchs, W. K.; Kuo, Sy-Yen | The 16th IEEE Annual International Symposium on Fault-Tolerant Computing | |||
508 | 1962 | The Impact of Parallel Architectures Granularity on Yield | Fuchs, W. K.; Chang, M. F.; 郭斯彥 ; Mazumder, P.; Stunkel, C.; Kuo, Sy-Yen | Yield Modeling and Defect Tolerance in |