第 1 到 139 筆結果,共 139 筆。

公開日期標題作者來源出版物scopusWOS全文
12023The Implement of a Reconfigurable Intelligence Trust Chain Platform with Anti-counterfeit Traceable Version Function for the Customized System-Module-ICChen, Hsing Chung; Liang, Yao Hsien; Su, Jhih Sheng; KUEN-YU TSAI ; Song, Yu Lin; Hsu, Pei Yu; Cai, Jia SyunLecture Notes on Data Engineering and Communications Technologies00
22023eVTOL, UAM, and AAM: Brief Development History and Implementation Outlook of the United StatesKUEN-YU TSAI ; Meng, Guang Yun; Wu, Tung Ling; Zheng, Ming Hui; Wang, Wei Yao; Kung, Chih Ming; Chen, Yen Chuan; Huang, Chi Fa; Hsieh, Tsang Chieh; Hsu, Hsin Sheng; Lin, Huei Der; Shi, Jing XiangProceedings - 2023 IEEE International Conference on e-Business Engineering, ICEBE 2023
32021Efficient electrical characteristics estimation techniques for sub-20-nm FDSOI integrated circuits with nonrectangular gate patterning effectsCai J.-S; Chien S.-W; Zheng X.-Y; Lee C.-L; Tsai K.-Y.; KUEN-YU TSAI Journal of Micro/Nanopatterning, Materials and Metrology00
42021Investigation on helium ion beam lithography with proximity effect correctionLee C.-L; Chien S.-W; Tsai K.-Y; Liu C.-H.; KUEN-YU TSAI Journal of Micro/Nanopatterning, Materials and Metrology11
52021Precision fabrication of EUVL programmed defects with helium ion beam patterningChien-Lin Lee; Jia-Syun Cai; Sheng-Wei Chien; Kuen-Yu Tsai*;  Jia-Han Li; JIA-HAN LI ; KUEN-YU TSAI J. of Micro/Nanopatterning, Materials, and Metrology11
62019極紫外光微影光罩檢測技術蔡坤諭; 李建霖; 錢盛偉; KUEN-YU TSAI 
72019聚焦氦離子束微影與鄰近效應修正技術李建霖; 蔡坤諭; 錢盛偉; KUEN-YU TSAI 機械工業雜誌
82019Accuracy improvement of electrical characteristics estimation for sub-20nm FDSOI devices with non-rectangular gatesJia-Syun Cai; Sheng-Wei Chien; Xin-Yang Zheng; Chien-Lin Lee; KUEN-YU TSAI Proceedings of SPIE - The International Society for Optical Engineering10
92019Investigation on MBOPC convergence improvement with location-dependent correction factors aided by machine learningJia-Syun Cai; Sheng-Wei Chien; Chien-Lin Lee; Kuen-Yu Tsai*; James P. Shiely; Matt St. John; KUEN-YU TSAI Proceeding of SPIE, Optical Microlithography XXXII10
102019Precision fabrication of EUVL programmed defects with helium ion beam lithographyLee, C.-L.; Cai, J.-S.; Chien, S.-W.; Tsai, K.-Y.; KUEN-YU TSAI Proceedings of SPIE - The International Society for Optical Engineering20
112018Simulation-based proximity effect correction for helium ion beam lithographyChien-Lin Lee; Sheng-Wei Chien; Kuen-Yu Tsai*; KUEN-YU TSAI International workshop on Nano/micro 2d-3d fabrication, manufacturing of electronic – biomedical devices & applications (IWNEBD)
122018Focused helium ion beam applications in advanced-node nanolithography R/DChien-Lin Lee; Sheng-Wei Chien; Kuen-Yu Tsai*; KUEN-YU TSAI 1st Annual Zeiss Process Control Innovations Seminar (PCIS)
132018Characterization of proximity effects in helium ion beam lithography by direct Monte Carlo simulation and resist calibrationChien-Lin Lee; Sheng-Wei Chien; Kuen-Yu Tsai*; KUEN-YU TSAI The 31th International Microprocesses and Nanotechnology Conference (MNC 2018)
142018Model-based proximity effect correction for helium ion beam lithographyChien-Lin Lee; Sheng-Wei Chien; Kuen-Yu Tsai*; KUEN-YU TSAI Advanced Lithography 2018 -- Proc. SPIE 10584 Novel Patterning Technologies 201850
152018先進製程缺陷檢測設備性能測試標準樣本製作技術李建霖; 蔡坤諭; 錢盛偉; KUEN-YU TSAI 
162018先進製程缺陷檢測設備及性能測試標準樣本製作技術李建霖; 蔡坤諭; 錢盛偉; KUEN-YU TSAI 機械工業雜誌
172018極紫外光微影光罩檢測技術蔡坤諭; 李建霖; 錢盛偉; KUEN-YU TSAI 機械工業雜誌
182017Projection Patterning With Exposure MaskKuen-Yu Tsai*; Miin-Jang Chen; Si-Chen Lee (National Taiwan University/Taiwan Semiconductor Manufacturing Company); KUEN-YU TSAI 
192017Fabrication of programmed defects for non-imaging EUV mask inspection by helium ion beam direct millingChien-Lin Lee; Sheng-Wei Chien; Kuen-Yu Tsai*; KUEN-YU TSAI The 30th International Microprocesses and Nanotechnology Conference (MNC 2017)
202017Heavy metal incorporated helium ion active hybrid non-chemically amplified resists: Nano-patterning with low line edge roughnessPulikanti Guruprasad Reddy; Neha Thakur; Chien-Lin Lee; Sheng-Wei Chien; Chullikkattil P. Pradeep; Subrata Ghosh; KUEN-YU TSAI ; Kenneth E. GonsalvesAIP Advances1311
212017Method and System for Establishing Parametric Model (參數化模型的建立方法及系統)Kuen-Yu Tsai*; Chun-Hung Liu (National Taiwan University/Taiwan Semiconductor Manufacturing Company); KUEN-YU TSAI 
222017Method for Calibrating A Manufacturing Process ModelKuen-Yu Tsai*; Alek C. Chen; Jia-Han Li (National Taiwan University/ASML Netherlands B.V.); KUEN-YU TSAI 
232016Method and System for Establishing Parametric ModelKuen-Yu Tsai; Chun-Hung Liu; KUEN-YU TSAI 
242016Process for Fabricating Integrated Circuit (積體電路的製程)Kuen-Yu Tsai*; Min-Jang Chen; Samuel C. Pan (National Taiwan University/Taiwan Semiconductor Manufacturing Company); KUEN-YU TSAI 
252016Fabrication of metrology test structures with programmed imperfection using helium ion beam direct writeSheng-Wei Chien; Kuen-Yu Tsai*; Jia-Han Li; KUEN-YU TSAI 2016 ZEISS Korea Microscopy Workshop
262015Multilayer Mirror Structure (多層反射鏡結構)Jia-Han Li; Yen-Min Lee; Kuen-Yu Tsai; KUEN-YU TSAI 
272015Determining Proximity Effect Parameters for Non-Rectangular Semiconductor StructuresKuen-Yu Tsai; Meng-Fu You; Yi-Chang Lu; KUEN-YU TSAI 
282015Design of an electron-optical system with a ball-tip emission source through a numerical optimization method for high-throughput electron-beam–direct-write lithographyHsuan-Ping Lee; Sheng-Yung Chen; Chun-Hung Liu; Ding-Qi; Yu-Tian Shen; KUEN-YU TSAI Japanese Journal of Applied Physics00
292015Comparison of the vectorial diffraction theory and Fraunhofer approximation method on diffractive images of Fresnel zone platesPei, T.-H.; KUEN-YU TSAI ; JIA-HAN LI Optical and Quantum Electronics00
302015Supplementary zones-surrounded Fresnel zone plate with enhanced optical resolutionLee, Y.-M.; Chen, S.-H.; Hsu, C.-P.; Chiou, P.-C.; Tsai, K.-Y.; Chung, T.-T.; Tsai, C.-H.; Liu, Z.-Y.; KUEN-YU TSAI ; JIA-HAN LI Journal of Optics (United Kingdom)01
312014System and Method for Estimating Change of Status of Particle Beams (粒子束狀態改變監測系統及其方法)Kuen-Yu Tsai; Sheng-Yung Chen; Jia-Yush Yen; Yung-Yaw Chen; Chi-Hsiang Fan; KUEN-YU TSAI 
322014Method for Adjusting Status of Particle Beams for Patterning A Substrate and System Using the Same (於一基板上製作圖案時之粒子束狀態調整方法及其系統)Kuen-Yu Tsai; Sheng-Yung Chen; KUEN-YU TSAI 
332014Method and Apparatus For Designing Patterning Systems Considering Patterning Fidelity (基於圖案製作真確度之圖案製作系統設計方法與裝置)Kuen-Yu Tsai; Sheng-Yung Chen; Hoi-Tou Ng; Shiau-Yi Ma; KUEN-YU TSAI 
342014Apparatus and Method for Estimating Change of Status of Particle Beams (粒子束狀態改變之估測裝置及其方法)Kuen-Yu Tsai; Sheng-Yung Chen; KUEN-YU TSAI 
352014A New EUV Mask Blank Defect Inspection Method with Coherent Diffraction ImagingDing Qi; Kuen-Yu Tsai; Jia-Han Li; KUEN-YU TSAI 2014 International Workshop on EUV Lithography 
362014Electron-Beam Lithographic Method, System and Method For Controlling Electron-Beam Servo (電子束微影方法、電子束微影伺服控制方法及系統)Jia-Yush Yen; Kuen-Yu Tsai; Lien-Sheng Chen; Pablo Chiu; Hsin-Fan Tsai; KUEN-YU TSAI 
372014Method for Compensating Proximity Effect of Particle Beam Lithography Process (粒子束微影程序鄰近效應之補償方法)Kuen-Yu Tsai; Chun-Hung Liu; Chooi-Wan Ng; Pei-Lin Tien; KUEN-YU TSAI 
382014Improvement in electron-beam lithography throughput by exploiting relaxed patterning fidelity requirements with directed self-assemblyHao-Yun Yu; Chun-Hung Liu; Yu-Tian Shen; Hsuan-Ping Lee; Kuen-Yu Tsai; KUEN-YU TSAI Advanced Lithography 2014 - Proc. SPIE10
392014Optical scatterometry system for detecting specific line edge roughness of resist gratings subjected to detector noisesLee, Y.-M.; Li, J.-H.; Wang, F.-M.; Cheng, H.-H.; Shen, Y.-T.; Tsai, K.-Y.; Shieh, J.J.; KUEN-YU TSAI ; JIA-HAN LI Journal of Optics (United Kingdom)00
402014Direct-scatterometry-enabled PEC model calibration with two-dimensional layoutsYang, Y.-Y.; Lee, H.-P.; Liu, C.-H.; Yu, H.-Y.; Tsai, K.-Y.; KUEN-YU TSAI ; JIA-HAN LI Proceedings of SPIE - The International Society for Optical Engineering00
412014Refractive index and effective thickness measurement system for the RGB color filter coatings with absorption and scattering propertiesLee, Y.-M.; Cheng, H.-H.; Li, J.-H.; Tsai, K.-Y.; KUEN-YU TSAI ; JIA-HAN LI IEEE/OSA Journal of Display Technology21
422014The electrostatic potential inside the electron-optical systen with periodic boundary-value conditionsPei, T.-H.; Tsai, K.-Y.; JIA-HAN LI ; KUEN-YU TSAI Advanced Materials Research00
432014Supplementary zones-surrounded fresnel zone plateLee, Y.-M.; Chen, S.-H.; Hsu, C.-P.; Chiou, P.-C.; Tsai, K.-Y.; Chung, T.-T.; Cheng-Han-Tsai, Liu, Z.-Y.; Li, J.-H.; KUEN-YU TSAI JSAP-OSA Joint Symposia, JSAP 20140
442014Simulation and experiment of speckle reduction by the beam splitting method on a pico-projection systemTing-Hang Pei; Feng-Chun Yeh; Kuen-Yu Tsai; Jia-Han Li; Zu-Rong Liu; Chang-Li Hung; KUEN-YU TSAI Advanced Materials Research20
452013Method for Compensating Effect of Patterning Process and Apparatus ThereofKuen-Yu Tsai; Chooi-Wan Ng; Yi-Sheng Su; KUEN-YU TSAI 
462013Electron Beam Exposure Apparatus, Electron Beam Generation Apparatus and Exposure Method (電子束曝光裝置、電子束產生裝置及曝光方法)Yu-Hsuan Kuo; Ming-Shing Su; Yi-Chang Lu; Kuen-Yu Tsai; KUEN-YU TSAI 
472013Study of etching bias modeling and correction strategies for compensation of patterning process effectsPhilip C. W. Ng; Kuen-Yu Tsai; Lawrence S. Melvin III; KUEN-YU TSAI Microelectronic Engineering11
482013Method for Compensating Proximity Effects of Particle Beam Lithography ProcessesKuen-Yu Tsai; Chun-Hung Liu; Chooi-Wan Ng; Pei-Lin Tien; KUEN-YU TSAI 
492013Method and Apparatus for Designing Patterning System Based on Patterning FidelityKuen-Yu Tsai; Sheng-Yung Chen; Hoi-Tou Ng; Shiau-Yi Ma; KUEN-YU TSAI 
502013Method for Improving Accuracy of Parasitics Extraction Considering Sub-Wavelength Lithography EffectsKuen-Yu Tsai; Wei-Jhih Hsieh; Bo-Sen Chang; KUEN-YU TSAI 
512013Impacts of point spread function accuracy on patterning prediction and proximity effect correction in low-voltage electron-beam-direct-write lithographyChun-Hung Liu; Philip C. W. Ng; Yu-Tian Shen; Sheng-Wei Chien; Kuen-Yu Tsai; KUEN-YU TSAI Journal of Vacuum Science & Technology B87
522013Solution-refined method for electrostatic potential distribution of large-scale electron opticsLee, Y.-M.; Li, J.-H.; Sheu, T.-W.-H.; Tsai, K.-Y.; KUEN-YU TSAI ; JIA-YUSH YEN ; JIA-HAN LI ; TONY W. H. SHEU Japanese Journal of Applied Physics00
532013讓摩爾定律成真的關鍵:微影技術 — 影響七十億以上個未來劉俊宏; 余浩澐; 蔡坤諭; KUEN-YU TSAI 
542013Void-based photonic crystal mirror with high reflectivity and low dissipation for extreme-ultraviolet radiationLee, Y.-M.; Li, J.-H.; KUEN-YU TSAI ; JIA-HAN LI Journal of Micro/Nanolithography, MEMS, and MOEMS11
552013Direct-scatterometry-enabled optical-proximity-correction-model calibrationChen, C.-Y.; Ng, P.C.W.; Liu, C.-H.; Shen, Y.-T.; Tsai, K.-Y.; Li, J.-H.; Shieh, J.J.; KUEN-YU TSAI ; JIA-HAN LI Proceedings of SPIE - The International Society for Optical Engineering10
562012The effect of NBTI on 3D integrated circuitsCheng-Hong Lin; Yi-Chang Lu; Chin-Khai Tang; Kuen-Yu Tsai; YI-CHANG LU ; KUEN-YU TSAI Electrical Design of Advanced Packaging and Systems Symposium (EDAPS), 2012 IEEE20
572012Solution-refined method for solving large-scale computation problems: Taking the Laplace's equation as an exampleYen-Min Lee; Jia-Han Li; Tony Wen-Hann Sheu; Kuen-Yu Tsai; Jia-Yush Yen; KUEN-YU TSAI NCHC High-performance Computing Conference 
582012New method of optimizing writing parameters in electron beam lithography systems for throughput improvement considering patterning fidelity constraintsHoi-Tou Ng; Yu-Tian Shen; Sheng-Yung Chen; Chun-Hung Liu; Philip C. W. Ng; Kuen-Yu Tsai; KUEN-YU TSAI Journal of Micro/Nanolithography, MEMS, and MOEMS05
592012Architecture and EOS Design Flow of a Multiple-Electron-Beam–Direct-Write System Considering Patterning Fidelity, Breakdown EffectSheng-Yung Chen; Shiau-Yi Ma; Kuen-Yu Tsai; KUEN-YU TSAI 38th International Micro & Nano Engineering Conference (MNE 2012) 
602012Study of etching bias modeling and correction strategies for patterning processesPhilip C.W. Ng; Kuen-Yu Tsai; Lawrence S. Melvin III; KUEN-YU TSAI 38th International Micro & Nano Engineering Conference (MNE 2012) 
612012New parametric point spread function calibration methodology for improving the accuracy of patterning prediction in electron-beam lithographyChun-Hung Liu; Hoi-Tou Ng; Kuen-Yu Tsai; KUEN-YU TSAI Journal of Micro/Nanolithography, MEMS, and MOEMS08
622012Electron-beam proximity effect model calibration for fabricating scatterometry calibration samplesYu-Tian Shen; Liu, C.-H.; Chen, C.-Y.; Ng, H.-T.; Tsai, K.-Y.; Wang, F.-M.; Kuan, C.-H.; Lee, Y.-M.; Cheng, H.-H.; Li, J.-H.; KUEN-YU TSAI ; CHIEH-HSIUNG KUAN ; JIA-HAN LI Advanced Lithography - Proceeding of SPIE30
632012Direct-scatterometry-enabled lithography model calibrationChen, C.-Y.; Tsai, K.-Y.; Shen, Y.-T.; Lee, Y.-M.; Li, J.-H.; Shieh, J.J.; KUEN-YU TSAI ; JIA-HAN LI Proceedings of SPIE - The International Society for Optical Engineering30
642011Lithography-patterning-fidelity-aware electron-optical system design optimizationSheng-Yung Chen; Hoi-Tou Ng; Shiau-Yi Ma; Hsing-Hong Chen; Chun-Hung Liu; Kuen-Yu Tsai; KUEN-YU TSAI Journal of Vacuum Science and Technology B15
652011Optical metrology of shape-varying nano-patterned gratings by analyzing the scattering signals in their pupil imagesYen-Min Lee; Jia-Han Li; Fu-Min Wang; Hsin-Hung Cheng; Yu-Tian Shen; Kuen-Yu Tsai; Alek C. Chen; KUEN-YU TSAI International Microprocesses and Nanotechnology Conference
662011Non-delta-chrome optical proximity correction methodology for process models with three-dimensional mask effectsPhilip C. W. Ng; Kuen-Yu Tsai; Lawrence S. Melvin III; KUEN-YU TSAI Journal of Micro/Nanolithography, MEMS, and MOEMS55
672011Fabrication method of high-quality Ge nanocrystals on patterned Si substrates by local melting point controlChien-Wei Chiu; Ting-Wei Liao; Kuen-Yu Tsai; Fu-Min Wang; Yuen-Wuu Suen; Chieh-Hsiung Kuan; KUEN-YU TSAI ; CHIEH-HSIUNG KUAN Nanotechnology23
682011Impact of process-effect correction strategies on variability of critical dimension and electrical characteristics in extreme ultraviolet lithographyNg, Philip C.W.; Chien, Sheng-Wei; Chang, Bo-Sen; Tsai, Kuen-Yu; Lu, Yi-Chang; Li, Jia-Han; Chen, Alek C.; YI-CHANG LU ; KUEN-YU TSAI ; Li, Jia-Han Japanese Journal of Applied Physics23
692011Lithography-patterning-fidelity-aware electron-optical system design optimizationSheng-Yung Chen; Hoi-Tou Ng; Shiau-Yi Ma; Hsing-Hong Chen; Kuen-Yu Tsai; KUEN-YU TSAI The 55th International Conference on Electron, Ion, Photon Beam Technology and Nanofabrication15
702011Errata: Fully model-based methodology for simultaneous correction of extreme ultraviolet mask shadowing and proximity effectsNg, Philip C.W.; Tsai, Kuen-Yu ; Lee, Yen-Min; Wang, Fu-Min; Li, Jia-Han ; JIA-HAN LI Journal of Micro/Nanolithography MEMS and MOEMS20
712011Non-delta-chrome optical proximity correction methodology for process models with three-dimensional mask effects (vol 10, 033010, 2011)Ng, Philip C. W.; Tsai, Kuen-Yu; Melvin, Lawrence S.; KUEN-YU TSAI Journal of Micro-Nanolithography Mems and Moems00
722011Silicon photodiodes for electron beam position and drift detection in scanning electron microscopy and electron beam lithography systemKuo, Y.-H.; Wu, C.-J.; Yen, J.-Y.; Chen, S.-Y.; Tsai, K.-Y.; KUEN-YU TSAI ; YUNG-YAW CHEN ; JIA-YUSH YEN Nuclear Instruments and Methods in Physics Research, Section A: Accelerators, Spectrometers, Detectors and Associated Equipment54
732011Fully model-based methodology for simultaneous correction of extreme ultraviolet mask shadowing and proximity effectsNg, P.C.W.; Tsai, K.-Y.; Lee, Y.-M.; Wang, F.-M.; Li, J.-H.; KUEN-YU TSAI ; JIA-HAN LI Journal of Micro/Nanolithography, MEMS, and MOEMS2724
742011Erratum: Fully model-based methodology for simultaneous correction of extreme ultraviolet mask shadowing and proximity effects (Journal of Micro/ Nanolithography, MEMS, and MOEMS (2011) 10 (013004))Ng P.C.W; KUEN-YU TSAI ; Lee Y.-M; Wang F.-M; JIA-HAN LI ; Chen A.C.Journal of Micro/Nanolithography, MEMS, and MOEMS20
752011In situ beam drift detection using a two-dimensional electron-beam position monitoring system for multiple-electron-beam-direct-write lithographyCHIEH-HSIUNG KUAN ; Tsai, Kuen-Yu ; YUNG-YAW CHEN ; JIA-YUSH YEN Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics 4
762010Iterative finite-difference method for analyzing fabrication errors of lens-misaligned electron-beam direct-write lithography systemYen-Min Lee; Jia-Han Li; Sheng-Yung Chen; Shiau-Yi Ma; Kuen-Yu Tsai; Tony W. H. Sheu; Jia-Yush Yen; KUEN-YU TSAI International Microprocesses and Nanotechnology Conference 2010
772010Impact of process effects correction strategies on critical dimension and electrical characteristics variabilities in extreme ultraviolet lithographyPhilip C. W. Ng; Sheng-Wei Chien; Bo-Sen Chang; Kuen-Yu Tsai; Yi-Chang Lu; KUEN-YU TSAI International Microprocesses and Nanotechnology Conference 2010
782010Fabrication of metrology test structures with programmed line edge roughness using electron beam direct writeFu-Min Wang; Kuen-Yu Tsai; Jia-Han Li; Alek C. Chen; Yen-Min Lee; Yu-Tian Shen; Hsin-Hung Cheng; Chieh-Hsiang Kuan; KUEN-YU TSAI International Microprocesses and Nanotechnology Conference 201060
792010High spatial resolution and large field intensity by a set of two modified zone platesZhan-Yu Liu; Yao-Jen Tsai; Jia-Han Li; Kuen-Yu Tsai; KUEN-YU TSAI Frontiers in Optics (FiO)/Laser Science XXVI (LS) Conference00
802010Stochastic simulation of photon propagation in Si for extreme-ultraviolet mask-defect inspectionPei, Ting-Hang; Tsai, Kuen-Yu ; Li, Jia-Han ; Cheng, I-Chun Applied Physics Letters00
812010Beam drift detection using a two-dimensional electron beam position monitor system for multiple-electron-beam–direct-write lithographySheng-Yung Chen; Kuen-Yu Tsai; Hoi-Tou Ng; Chi-Hsiung Fan; Ting-Han Pei; Chieh-Hsiung Kuan; Yung-Yaw Chen; Yi-Hung Kuo; Cheng-Ju Wu; Jia-Yush Yen; KUEN-YU TSAI The 54th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication 
822010Manufacturability analysis of a micro-electro-mechanical systems–based electron-optical system design for direct-write lithographySheng-Yung Chen; Shin-Chuan Chen; Hsing-Hong Chen; Kuen-Yu Tsai; Hsin-Hung Pan; KUEN-YU TSAI Japanese Journal of Applied Physics37
832010Impacts of point spread function calibration methods on model-based proximity effect correction for electron-beam-direct-write lithographyChun-Hung Liu; Philip Ng; Yu-Tian Shen; Hoi-Tou Ng; Kuen-Yu Tsai; KUEN-YU TSAI The 54th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication 
842010Analysis of fabrication misalignment effects in a MEMS-based electron-optical system design for direct-write lithographySheng-Yung Chen; Chieh-Chien Huang; Shin-Chuan Chen; Ting-Han Pei; Kuen-Yu Tsai; KUEN-YU TSAI The 54th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication 
85201010 nm lines with 14 nm half pitch grating written in HSQ by electron beam direct write at 5 keVFu-Min Wang; Susumu Ono; Hsin-Hung Su; Kuen-Yu Tsai; Chieh-Hsiung Kuan; KUEN-YU TSAI The 54th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication 
862010A non-delta-chrome OPC methodology for nonlinear process modelsPhilip C. W. Ng; Kuen-Yu Tsai; Lawrence S. Melvin III; KUEN-YU TSAI The 54th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication 
872010Stochastic simulation of photon scattering for EUV mask defect inspectionTing-Hang Pei; Kuen-Yu Tsai; KUEN-YU TSAI ; JIA-HAN LI Advanced Lithography 2010 - Proc. SPIE00
882010Model-based proximity effect correction for electron-beam direct-write lithographyChun-Hung Liu; Pei-Lin Tien; Philip C. W. Ng; Yu-Tian Shen; Kuen-Yu Tsai; KUEN-YU TSAI Advanced Lithography 2010 -- Proc. SPIE 7637, Alternative Lithographic Technologies II70
892010A non-delta-chrome OPC methodology for process models with three-dimensional mask effectsPhilip C. W. Ng; Kuen-Yu Tsai; Chih-Hsien Tang; Lawrence S. Melvin III; KUEN-YU TSAI Advanced Lithography 201010
902010A new method to improve accuracy of parasitics extraction considering sub-wavelength lithography effectsKuen-Yu Tsai; Wei-Jhih Hsieh; Yuan-Ching Lu; Bo-Sen Chang; Sheng-Wei Chien; Yi-Chang Lu; YI-CHANG LU ; KUEN-YU TSAI ASP-DAC 2010, The 15th Asia and South Pacific Design Automation Conference10
912010Architecture for next generation massively parallel maskless lithography system (MPML2)Su, M.-S.; Tsai, K.-Y.; Lu, Y.-C.; Kuo, Y.-H.; Pei, T.-H.; Yen, J.-Y.; YI-CHANG LU ; KUEN-YU TSAI ; JIA-YUSH YEN Proceedings of SPIE - The International Society for Optical Engineering
922010Fresnel zone plate manufacturability analysis for direct-write lithography by simulating focusing and patterning performance versus fabrication errorsTsai, K.-Y.; Chen, S.-Y.; Pei, T.-H.; KUEN-YU TSAI ; JIA-HAN LI Japanese Journal of Applied Physics22
932010Efficient scattering simulations for equivalent extreme ultraviolet mask multilayer structures by modified transmission line theory and finite-difference time-domain methodLee, Y.-M.; Li, J.-H.; Ng, P.C.W.; Pei, T.-H.; Wang, F.-M.; Tsai, K.-Y.; KUEN-YU TSAI ; JIA-HAN LI Journal of Micro/Nanolithography, MEMS, and MOEMS23
942009Effects of Fresnel zone plate fabrication errors on focusing performancesTing-Hang Pei; Kuen-Yu Tsai; Jia-Han Li; KUEN-YU TSAI International Microprocesses and Nanotechnology Conference 2009
952009Manufacturability analysis of a MEMS-based electron-optical system design for direct-write lithographySheng-Yung Chen; Shin-Chuan Chen; Hsing-Hong Chen; Ting-Han Pei; Kuen-Yu Tsai; Hsin-Hung Pan; KUEN-YU TSAI International Microprocesses and Nanotechnology Conference 2009
962009Using transmission line theory to calculate equivalent refractive index of EUV mask multilayer structures for efficient scattering simulation by finite-difference time-domain methodYen-Min Lee; Jia-Han Li; Philip C. W. Ng; Ting-Han Pei; Fu-Min Wang; Kuen-Yu Tsai; KUEN-YU TSAI ; JIA-HAN LI Lithography Asia 2009, Proc. SPIE00
972009High resolution electron beam direct write on ZEP520 at 5 KeVFu-Min Wang; S. Ono; Hsing-Hong Su; Kuen-Yu Tsai; Chieh-Hsiung Kuan; KUEN-YU TSAI International Microprocesses and Nanotechnology Conference 2009
982009A fully model-based methodology for simultaneously correcting EUV mask shadowing and optical proximity effects with improved pattern transfer fidelity and process windowsPhilip C. W. Ng; Kuen-Yu Tsai; Yen-Min Lee; Ting-Han Pei; Fu-Min Wang; Jia-Han Li; KUEN-YU TSAI ; JIA-HAN LI Lithography Asia 2009 - Proc. SPIE30
992009Determination of Gaussian beam and raster scan parameters in electron-beam-direct-write lithography considering device patterning and performance variabilityHoi-Tou Ng; Chun-Hung Liu; Hsing-Hong Chen; Kuen-Yu Tsai; KUEN-YU TSAI Lithography Asia 2009 
1002009A simple design rule check for DP decompositionChih-Hsien Tang; Kuen-Yu Tsai; KUEN-YU TSAI Design Automation Conference 2009 
1012009A new parametric proximity effect model calibration method for improving accuracy of post-lithography patterning prediction in sub-32-nm half-pitch low-voltage electron beam direct-write lithographyChun-Hung Liu; Hoi-Tou Ng; Kuen-Yu Tsai; KUEN-YU TSAI The 53th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication 2009 
1022009Selection of Gaussian-beam and raster-scan parameters in electron-beam direct-write lithography considering device patterning and performance variabilityHoi-Tou Ng; Chun-Hung Liu; Hsing-Hong Chen; Kuen-Yu Tsai; KUEN-YU TSAI Advanced Lithography 2009 
1032009Preliminary design of a two-dimensional electron beam position monitor system for multiple-electron-beam-direct-write lithographyCHIEH-HSIUNG KUAN ; YUNG-YAW CHEN ; KUEN-YU TSAI ; JIA-YUSH YEN ; Chen, S.-Y.; Tsai, K.-Y.; Ng, H.-T.; Fan, C.-H.; Pei, T.-H.; Kuan, C.-H.; Chen, Y.-Y.; Yen, J.-Y.; CHIEH-HSIUNG KUAN ; YUNG-YAW CHEN Proceedings of SPIE - The International Society for Optical Engineering
1042009Hybrid servo design for large area nano pattern stitchingYen, J.-Y.; Chen, C.-H.; Chen, L.-S.; Tsai, K.-Y.; Chang, S.-H.; KUEN-YU TSAI ; JIA-YUSH YEN IEEE/ASME International Conference on Advanced Intelligent Mechatronics
1052008A novel curve-fitting procedure for determining proximity effect parameters in electron beam lithographyChun-Hung Liu; Hoi-Tou Ng; Philip C. W. Ng; Kuen-Yu Tsai; Shy-Jay Lin; Jeng-Horng Chen; KUEN-YU TSAI Lithography Asia 2008 - Proc. SPIE50
1062008A new method to improve accuracy of leakage current estimation for transistors with non-rectangular gates due to sub-wavelength lithography effectsKuen-Yu Tsai; Meng-Fu You; Yi-Chang Lu; Philip C. W. Ng; YI-CHANG LU ; KUEN-YU TSAI ICCAD 2008, IEEE/ACM International Conference on Computer-Aided Design90
1072008深次微米IC設計,製造,與測試之半導體工程鏈研究-子計畫三:最佳化整合設計、製造和測試以提升大型積體電路的良率與性能(I)蔡坤諭 
1082008多電子束平行掃瞄微影系統設計-子計畫三:多電子束平行掃瞄系統之電磁透鏡、遮黑板與資料傳輸系統研發(I)蔡坤諭 
1092008Design of automatic controllers for model-based OPC with optimal resist threshold determination for improving correction convergenceSu, Y.-S.; Ng, P.C.W.; Tsai, K.-Y.; YUNG-YAW CHEN ; KUEN-YU TSAI Proceedings of SPIE - The International Society for Optical Engineering150
1102008次世代微影技術發展現況與展望:極紫外光光罩檢測技術之現況與展望蔡坤諭 電子月刊
1112008Design of automatic controllers for model-based OPC with optimal resist threshold determination for improving correction convergenceSu, Yi-Sheng; Ng, Philip C. W.; Tsai, Kuen-Yu ; Chen, Yung-Yaw Proceedings of SPIE15
1122007多電子束平行掃瞄微影系統設計-子計畫三:多電子束平行掃瞄系統之電磁透鏡、遮黑板與資料傳輸系統研發蔡坤諭 
1132007適用32奈米以下製程之高產能、低成本平行寫入無光罩微影技術初步研究蔡坤諭 
1142007Impacts of optical proximity correction settings on electrical performancesMeng-Fu You; Philip C. W. Ng; Yi-Sheng Su; Kuen-Yu Tsai; Yi-Chang Lu; YI-CHANG LU ; KUEN-YU TSAI Advanced Lithography 2007 - Proc. SPIE60
1152007Real-time spatial control of photoresist development rateArthur Tay; Weng-Khuen Ho; Ni Hu; Choon-Meng Kiew; Kuen-Yu Tsai; KUEN-YU TSAI Proc. SPIE , Metrology, Inspection, and Process Control for Microlithography00
1162006Feedback control of piezo-based nanopositioning systems for semiconductor manufacturingChun-Hung Liu; Yea-Chin Yeh; Kuen-Yu Tsai; Jia-Yush Yen; Arthur Tay; Jyh-Fa Lee; KUEN-YU TSAI IFAC Workshop on Advanced Process Control for Semiconductor Manufacturing 
1172006Identifications of the PZT Actuated Novel Optical Scanning SystemYea-Chin Yeh; Chun-Hung Liu; Kuen-Yu Tsai; Yu-Chen Kung; Jia-Yush Yen; Jyh-Fa Lee; KUEN-YU TSAI IFAC Workshop on Advanced Process Control for Semiconductor Manufacturing 
1182006A fast in situ approach to estimating wafer warpage profile during thermal processing in microlithographyNi Hu; Arthur Tay; Kuen-Yu Tsai; KUEN-YU TSAI Measurement Science and Technology52
1192006Real-time spatial control of steady-state wafer temperature during thermal processing in microlithographyArthur Tay; Weng-Khuen Ho; Ni Hu; Kuen-Yu Tsai; Ying Zhou; KUEN-YU TSAI Proc. SPIE, Data Analysis and Modeling for Process Control II00
1202006Method for Fast Design of Multi-objective Frequency-shaping EqualizersKUEN-YU TSAI 
1212005On the sensitivity improvement and cross-correlation methodology for confocal EUV mask blank defect inspection tool fleetKuen-Yu Tsai; Eric M. Gullikson; Patrick Kearney; Alan R. Stivers; KUEN-YU TSAI 25th Annual BACUS Symposium on Photomask Technology -- Proc. of SPIE30
1222005In-situ fault detection of wafer warpage in lithographyArthur Tay; Weng Khuen Ho; Christopher Yap; Chen Wei; KUEN-YU TSAI IFAC Proceedings Volumes (IFAC-PapersOnline)20
1232005Modeling the defect inspection sensitivity of a confocal microscopeEric M. Gullikson; Edita Tejnil; Kuen-Yu Tsai; Alan R. Stivers; H. Kusunose; KUEN-YU TSAI Microlithography 2005 - Proc. SPIE Vol. 5751, Emerging Lithographic Technologies IX160
1242004DQIT: μ-synthesis without D-scale fittingKuen-Yu Tsai*; Haitham A. Hindi; KUEN-YU TSAI IEEE Transactions on Automatic Control10
1252004Method for Design of Multi-objective Robust ControllersKuen-Yu Tsai; Haitham A. Hindi; KUEN-YU TSAI 
1262004Design of feedforward filters for improving tracking performances of existing feedback control systemsKuen-Yu Tsai; Charles D. Schaper; Thomas Kailath; KUEN-YU TSAI IEEE Transactions on Control Systems Technology95
1272002Design of Feedforward and Feedback Controllers by Signal Processing and Convex Optimization TechniquesKUEN-YU TSAI 
1281999Servo system design of a high-resolution piezo-driven fine stage for step-and-repeat microlithography systemsKuen-Yu Tsai; Jia-Yush Yen; KUEN-YU TSAI The 25th Annual Conference of the IEEE Industrial Electronics Society, 1999. IECON '99220
1291997Servo System Design of a High-Resolution Piezo-Driven Three Degree-of-Freedom Fine Stage for Integrated-Circuit Wafer Step-and-Repeat Lithography SystemsKUEN-YU TSAI 
1300Charged-Particle-Beam Patterning Without ResistKuen-Yu Tsai; Miin-Jang Chen; Samuel C. Pan; KUEN-YU TSAI 
1310Method and Computer-Aided Design System Of Manufacturing An Optical SystemKuen-Yu Tsai; Sheng-Yung Chen; Shih-Chuan Chen; KUEN-YU TSAI 
1320Apparatus and Method for Estimating Change of Status of Particle BeamsKuen-Yu Tsai; Sheng-Yung Chen; KUEN-YU TSAI 
1330System and Method for Estimating Change of Status of Particle BeamsKuen-Yu Tsai; Sheng-Yung Chen; Jia-Yush Yen; Yung-Yaw Chen; Chi-Hsiang Fan; KUEN-YU TSAI 
1340Multilayer Mirror StructureJia-Han Li; Yen-Min Lee; Kuen-Yu Tsai; KUEN-YU TSAI 
1350Projection Patterning With Exposure MaskKuen-Yu Tsai; Miin-Jang Chen; Si-Chen Lee; KUEN-YU TSAI 
1360Method for Calibrating A Manufacturing Process Model (National Taiwan University/ASML Holding N.V.)Kuen-Yu Tsai; Alek C. Chen; Jia-Han Li; KUEN-YU TSAI 
1370Method and System for Establishing Parametric Model (參數化模型的建立方法及系統)Kuen-Yu Tsai; Chun-Hung Liu; KUEN-YU TSAI 
1380Method for Adjusting Status of Particle Beams for Patterning A Substrate And System Using The SameKuen-Yu Tsai; Sheng-Yung Chen; KUEN-YU TSAI 
1390Method For Non-Resist NanolithographyMiin-Jang Chen; Kuen-Yu Tsai; Chee-Wee Liu; KUEN-YU TSAI