第 1 到 159 筆結果,共 159 筆。

公開日期標題作者來源出版物scopusWOS全文
12023Diagnosis of Systematic Delay Failures Through Subset Relationship AnalysisHsieh, Bing Han; Liu, Yun Sheng; CHIEN-MO LI ; Nigh, Chris; Chern, Mason; Bhargava, GauravProceedings - International Test Conference
22023High-Speed, Low-Storage Power and Thermal Predictions for ATPG Test PatternsLiang, Zhe Jia; Wu, Yu Tsung; Yang, Yun Feng; CHIEN-MO LI ; Chang, Norman; Kumar, Akhilesh; Li, Ying ShiunProceedings - International Test Conference
32023Vmin Prediction Using Nondestructive Stress TestChen, Chun; Liao, Jeng Yu; CHIEN-MO LI ; Chen, Harry H.; Fang, Eric Jia WeiProceedings of the IEEE VLSI Test Symposium10
42023Diagnosis of Quantum Circuits in the NISQ EraLi, Yu Min; Hsieh, Cheng Yun; Li, Yen Wei; CHIEN-MO LI Proceedings of the IEEE VLSI Test Symposium00
52023Small Sampling Overhead Error Mitigation for Quantum CircuitsHsieh, Cheng Yun; Tsai, Hsin Ying; Lu, Yuan Hsiang; CHIEN-MO LI IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
62022Automatic test configuration and pattern generation (ATCPG) for neuromorphic chipsChiu, I. Wei; Chen, Xin Ping; Hu, Jennifer Shueh Inn; CHIEN-MO LI IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD00
72022ML-Assisted VminBinning with Multiple Guard Bands for Low Power ConsumptionLin, Wei Chen; Chen, Chun; Hsieh, Chao Ho; CHIEN-MO LI ; Fang, Eric Jia Wei; Hsueh, Sung S.Y.Proceedings - International Test Conference10
82022Diagnosing Double Faulty Chains through Failing Bit SeparationKuo, Cheng Sian; Hsieh, Bing Han; CHIEN-MO LI ; Nigh, Chris; Bhargava, Gaurav; Chern, MasonProceedings - International Test Conference00
92022Low-IR-Drop Test Pattern Regeneration Using A Fast PredictorLiu, Shi Tang; Chen, Jia Xian; Wu, Yu Tsung; Hsieh, Chao Ho; CHIEN-MO LI ; Chang, Norman; Li, Ying Shiun; Chuang, Wen TzeProceedings - International Symposium on Quality Electronic Design, ISQED00
102021Fault Modeling and Testing of Spiking Neural Network ChipsHsieh, Yi Zhan; Tseng, Hsiao Yin; Chiu, I. Wei; CHIEN-MO LI Proceedings - 2021 IEEE International Test Conference in Asia, ITC-Asia 202120
112021Clock-Less DFT and BIST for Dual-Rail Asynchronous CircuitsChen T.-C; Pai C.-C; Hsieh Y.-Z; Tseng H.-Y; Chien-Mo J; Liu T.-T; CHIEN-MO LI ; TSUNG-TE LIU ; Chiu I.-WJournal of Electronic Testing: Theory and Applications (JETTA)00
122021Improving Volume Diagnosis and Debug with Test Failure Clustering and ReorganizationWu M.-T; Kuo C.-S; Li J.C.-M; Nigh C; Bhargava G.; CHIEN-MO LI Proceedings - International Test Conference
132021Minimum Operating Voltage Prediction in Production Test Using Accumulative LearningKuo Y.-T; Lin W.-C; Chen C; Hsieh C.-H; Li J.C.-M; Jia-Wei Fang E; Hsueh S.S.-Y.; CHIEN-MO LI Proceedings - International Test Conference
142021Chip Performance Prediction Using Machine Learning TechniquesSu M.-Y; Lin W.-C; Kuo Y.-T; Li C.-M; Fang E.J.-W; Hsueh S.S.-Y.; CHIEN-MO LI 2021 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2021 - Proceedings
152020Student engagement in the co-designing and co-teaching a cornerstone eecs design and implementation course at national Taiwan universityLee, Jennifer Wen-Shya et al.; Lin, Kun-You ; Chen, Ho-Lin ; Chen, J.-P.; SHIH-YUAN CHEN ; CHIEN-MO LI ; Xu, R.-F.; TZI-DAR CHIUEH ; HSIAO-WEN CHUNG ; Chen, N.; SHI-CHUNG CHANG International Conference on Higher Education Advances00
162020High Efficiency and Low Overkill Testing for Probabilistic CircuitsLee M.-T; Wu C.-H; Liu S.-T; Hsieh C.-Y; CHIEN-MO LI Proceedings - 2020 IEEE International Test Conference in Asia, ITC-Asia 2020
172020Diagnosis technique for Clustered Multiple Transition Delay FaultsYou Y.-S; Liu C.-Y; Wu M.-T; Chen P.-W; CHIEN-MO LI Proceedings - 2020 IEEE International Test Conference in Asia, ITC-Asia 2020
182020Automatic IR-Drop ECO Using Machine LearningLin H.-Y; Fang Y.-C; Liu S.-T; Chen J.-X; Li C.-M; Fang E.J.-W.; CHIEN-MO LI Proceedings - 2020 IEEE International Test Conference in Asia, ITC-Asia 2020
192020Systematic Hold-time Fault Diagnosis and Failure Debug in Production ChipsLiu C.-Y; Wu M.-T; Li J.C.-M; Bhargava G; Nigh C.; CHIEN-MO LI Proceedings of the Asian Test Symposium
202019DR-scan: Dual-rail Asynchronous Scan DfT and ATPGShih-An Hsieh; Ying-Hsu Wang; Ting-Yu Shen; Kuan-Yen Huang; Chia-Cheng Pai Tsai-Chieh Chen; James Chien-Mo Li; CHIEN-MO LI IEEE Transactions on Computer Aided Design32
212019Test methodology for PCHB/PCFB Asynchronous CircuitsShen, T.-Y.; Pai, C.-C.; Chen, T.-C.; Li, J.C.-M.; CHIEN-MO LI Proceedings - International Test Conference10
222019ATPG and test compression for probabilistic circuitsYang, K.-C.; Lee, M.-T.; Wu, C.-H.; CHIEN-MO LI 2019 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2019
232018Efficient multi-layer obstacle-avoiding region-to-region rectilinear steiner tree constructionWang, R.-Y.; Pai, C.-C.; Wang, J.-J.; Wen, H.-T.; Pai, Y.-C.; Chang, Y.-W. ; Li, J.C.M.; Jiang, J.-H.R.; JIE-HONG JIANG ; CHIEN-MO LI Design Automation Conference50
242018Test pattern compression for probabilistic circuitsChang, C.-M.; Yang, K.-J.; Li, J.C.-M.; CHIEN-MO LI Proceedings of the Asian Test Symposium00
252018Parallel order ATPG for test compactionChen, Y.-W.; Ho, Y.-H.; Chang, C.-M.; Yang, K.-C.; Li, M.-T.; CHIEN-MO LI 2018 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2018
262018Machine-learning-based dynamic IR drop prediction for ECOFang, Y.-C.; Lin, H.-Y.; Su, M.-Y.; Li, C.-M.; Fang, E.J.-W.; CHIEN-MO LI IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD
272018A new method for parameter estimation of high-order polynomial-phase signals.Cao, Runqing; Li, James Chien-Mo; Zuo, Lei; Wang, Zeyu; Lu, Yunlong; CHIEN-MO LI Signal Processing
282018Diagnosis and repair of cells (DRC) responsible for power-supply-noise violationsLi, Y.-C.; Lin, S.-Y.; Lin, H.-Y.; CHIEN-MO LI 2018 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2018
292018IR drop prediction of ECO-revised circuits using machine learningLin, S.-Y.; Fang, Y.-C.; Li, Y.-C.; Liu, Y.-C.; Yang, T.-S.; Lin, S.-C.; Li, C.-M.; Fang, E.J.-W.; CHIEN-MO LI Proceedings of the IEEE VLSI Test Symposium
302017Physical-aware diagnosis of multiple interconnect defectsChen, P.-H.; Lee, C.-L.; Chen, J.-Y.; Chen, P.-W.; CHIEN-MO LI ITC-Asia 2017 - International Test Conference in Asia20
312017PSN-aware Circuit Test Timing Prediction using Machine LearningB. Liu; J. C.M. Li; CHIEN-MO LI IET Computers & Digital Techniques96
322017Automatic test pattern generationCheng, K.-T.T.; Wang, L.-C.; Li, H.; CHIEN-MO LI Electronic Design Automation for IC System Design, Verification, and Testing
332017Robust test pattern generation for hold-time faults in nanometer technologiesHo, Y.-H.; Chen, Y.-W.; Chang, C.-M.; Yang, K.-C.; CHIEN-MO LI 2017 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2017
342017Test Methodology for Dual-rail Asynchronous CircuitsHuang, K.-Y.; Shen, T.-Y.; CHIEN-MO LI Proceedings - Design Automation Conference
352016A multicircuit simulator based on inverse jacobian matrix reuseLee, H.-I.; Han, C.-Y.; CHIEN-MO LI IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
362016Test Pattern Modification for Average IR-Drop ReductionDing, W.-S.; Hsieh, H.-Y.; Han, C.-Y.; Li, J.C.-M.; Wen, X.; CHIEN-MO LI IEEE Transactions on Very Large Scale Integration (VLSI) Systems
372016Power-supply-noise-aware timing analysis and test pattern regenerationHan, C.-Y.; Li, Y.-C.; Kan, H.-T.; CHIEN-MO LI IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
382015DR Scan: DR-scan: A Test Methodology for Dual-rail Asynchronous CircuitShih-An. Hsieh; Y.-H.Wang; K.Y. Huang; CHIEN-MO LI Design Automation Conference 
392015TARGET: Timing-AwaRe Gate Exhaustive Transition ATPG for Cell-internal DefectsA.F. Lin; Kuan-Yu Liao; Kuan-Ying Chiang; CHIEN-MO LI IEEE VLSI/DAT
402015Fault Simulation and Test Pattern Generation for Cross-gate Defects in FinFET CircuitsChiang, K.-Y.; Ho, Y.-H.; Chen, Y.-W.; Pan, C.-S.; CHIEN-MO LI Proceedings of the Asian Test Symposium90
412015The Multimedia Piers-Harris Children's Self-Concept Scale 2: Its Psychometric Properties, Equivalence with the Paper-and-Pencil Version, and Respondent PreferencesFlahive, Mon-hsin Wang; Chuang, Ying-Chih; CHIEN-MO LI Plos One
422014Flexible TFT Circuit Analyzer Considering Process Variation, Aging, and Bending EffectsE. H. Ma; W. E. Wei; H. Y. Li; J. C. M. Li; I. C. Cheng; Y. H. Yeh; I-CHUN CHENG ; CHIEN-MO LI IEEE Journal of Display Technology33
432014Physical-aware Systematic Multiple Defect DiagnosisCHIEN-MO LI ; P. J. Chen; C. C. Che; J. C. M. Li; S. F. Kuo; P. Y. Hsueh; C. Y. Kuo; J. N. Lee; CHIEN-MO LI IET Proceedings Computers and Digital Techniques10
442014Divide and Conquer Diagnosis for Multiple DefectsCHIEN-MO LI ; SM Chao; PJ Chen; CHIEN-MO LI IEEE International Test Conference
452014Transient IR-drop Analysis for At-speed Testing Using Representative Random WalkCHIEN-MO LI ; M. H. Tsai; W. S. Ding; H. Y. Hsieh; CHIEN-MO LI IEEE Transactions on Very Large Scale Integration (VLSI) Systems3
462014Power-Supply-Noise-Aware Dynamic Timing Analyzer for 3D ICCHIEN-MO LI ; H.Y. Hsieh; CHIEN-MO LI IEEE 3D IC Test Workshop 
472014Simultaneous Optimization of Analog Circuits With Reliability and Variability for Applications on Flexible ElectronicsCHIEN-MO LI ; Y. L. Chen; W. R. Wu; C. N. J. Liu; CHIEN-MO LI IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems16
482014GALAXY: A Multi-Circuit Simulator based on Inverse Jacobian Matrix ReuseCHIEN-MO LI ; H.Y. Lee; C.Y. Han; CHIEN-MO LI IEEE/ACM Design Automation Conference 
492014GPU-Based Timing-Aware Test Generation for Small Delay DefectsCHIEN-MO LI ; K.Y. Liao; J. C.-M. Li; M. Hsiao; CHIEN-MO LI IEEE European Test Symposium
502014Detect RRAM Defects in The Early Stage During Rnv8T Nonvolatile SRAM TestingCHIEN-MO LI ; B.C. Bai; C.A. Chen; CHIEN-MO LI IEEE International Test Conference 
512014A Flexible TFT Circuit Yield Optimizer Considering Process Variation, Aging, and Bending EffectsWen-En Wei; Hung-Yi Li; Cheng-Yu Han; James Chien-Mo Li; Jian-Jang Huang; I-Chun Cheng; Chien-Nan Liu; Yung-Hui Yeh; I-CHUN CHENG ; JIAN-JANG HUANG ; CHIEN-MO LI Journal of Display Technology52
522014Testing of TSV-induced small delay faults for 3-D integrated circuitsChun-Yi Kuo; Chi-Jih Shih; Yi-Chang Lu; James C.-M. Li; Krishnendu Chakrabarty; YI-CHANG LU ; CHIEN-MO LI IEEE Trans. Very Large Scale Integration (VLSI) Systems1713
532014GPU-based timing-aware test generation for small delay defects.Liao, Kuan-Yu; Chen, Po-Juei; Lin, Ang-Feng; Li, James Chien-Mo; Hsiao, Michael S.; Wang, Laung-Terng; CHIEN-MO LI 19th IEEE European Test Symposium, ETS 2014, Paderborn, Germany, May 26-30, 2014
542013Compact Test Pattern Selection for Small Delay DefectCHIEN-MO LI ; J. Y. Chang; K. Y. Liao; S. C. Hsu; J. C. M. Li; J. C. Rau; CHIEN-MO LI IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems18
552013Fault Simulation and Test Pattern Selection for Small Delay Defect Using GPUCHIEN-MO LI ; SC Hsu; KY Liao; CHIEN-MO LI VLSI/CAD 
562013Back-End-of-Line Defect Analysis for Rnv8T Nonvolatile SRAMCHIEN-MO LI ; BC Bai; C-L Hsu; MH Wu; CA Chen; YW Chen; KL Luo; LC Cheng; CHIEN-MO LI IEEE Asian Test Symposium
572013Defect Analysis and Fault Modeling for Rnv8T Nonvolatile SRAMCHIEN-MO LI ; Bing-Chuan Bai; Chen-An Chen; Yee-Wen Chen; Ming-Hsueh Wu; Kun-Lun Luo; Chun-Lung Hsu; Liang-Chia Cheng; CHIEN-MO LI IEEE Int’l Test Conf. 
582013Test Pattern Modification for Average IR-drop ReductionCHIEN-MO LI ; WS Ding; HY Hsieh; CHIEN-MO LI IEEE Int’l Test Conf. 
592013Testing Leakage Faults of Power TSV in 3D ICCHIEN-MO LI ; Chi-Jih Shih; Shih-An Hsieh; Yi-Chang Lu; James Chien-Mo Li; Tzong-Lin Wu; K. Chakrabarty; CHIEN-MO LI IEEE Int’l workshop on 3D IC 
602013Test Generation of Path Delay Faults Induced by Defects in Power TSVCHIEN-MO LI ; Chi-Jih Shih; Shih-An Hsieh; Yi-Chang Lu; James Chien-Mo Li; Tzong-Lin Wu; K. Chakrabarty; CHIEN-MO LI IEEE Asian Test Symposium
612013Test Clock Domain Optimization to Avoid Scan Shift Failures due to Flip-flop Simultaneous TriggeringCHIEN-MO LI ; Y. C. Huang; M. H. Tsai; W. S. Ding; J. C. M. Li; M. T. Chang; M. H. Tsai; C. M. Tseng; H. C. Li; CHIEN-MO LI IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
622013Test generation of path delay faults induced by defects in power TSVShih, C.-J.; Hsieh, S.-A.; Lu, Y.-C.; Li, J.C.-M.; Wu, T.-L.; TZONG-LIN WU ; YI-CHANG LU ; CHIEN-MO LI Proceedings of the Asian Test Symposium20
632013Automatic test pattern generation for delay defects using timed characteristic functions.Ho, Shin-Yann; Lin, Shuo-Ren; Yuan, Ko-Lung; Kuo, Chien-Yen; Liao, Kuan-Yu; Jiang, Jie-Hong R.; CHIEN-MO LI ; JIE-HONG JIANG The IEEE/ACM International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 201320
642012Structural Reduction Techniques for Logic-Chain Bridging Fault DiagnosisCHIEN-MO LI ; W.L. Tsai; CHIEN-MO LI IEEE Transactions on Computers9
652012Thermal-aware Test Schedule and TAM Co-Optimization for Three Dimensional ICCHIEN-MO LI ; C. J. Shih; C. Y. Hsu; C. Y. Kou; J. C. M. Li; J. C. Rau; K. Chakrabarty; CHIEN-MO LI Active and Passive Electronic Components
662012Transient IR-drop Analysis for At-speed Testing Using Representative Random WalkCHIEN-MO LI ; MH Tsai; WS Ting; CHIEN-MO LI VTTW
672012Systematic Open Via Diagnosis Based on Physical FeaturesCHIEN-MO LI ; P. J. Chen; C. C. Che; J. C. M. Li; K. Y. Tsai; S. F. Kuo; P. Y. Hsueh; Y. Y. Chen; J. N. Lee; CHIEN-MO LI IEEE Silicon Debug and Diagnosis Workshop 
682012GPU-Based Massively Parallel N-Detect Transition Delay Fault ATPG,CHIEN-MO LI ; K. Y. Liao; S. C. Hsu; CHIEN-MO LI Design Automation Conference
692012Transient IR-drop Analysis for At-speed Testing Using Representative Random Walk,CHIEN-MO LI ; M. H. Tsai; W. S. Ting; CHIEN-MO LI ITC 
702012GPU-Based Massively Parallel N-Detect Transition Delay Fault ATPGCHIEN-MO LI ; KY Liao; SC Hsu; CHIEN-MO LI IEEE Int’l Test Conf. 
7120123D IC test scheduling using simulated annealingCHIEN-MO LI ; CY Hsu; CY Kuo; JCM Li; K. Chakrbarty; CHIEN-MO LI IEEE VLSI-DAT
722012A Secure Test Wrapper Design against Internal and Boundary Scan Attacks for Embedded CoresCHIEN-MO LI ; G.M. Chiu; CHIEN-MO LI IEEE Transactions on Very Large Scale Integration (VLSI) Systems49
732012Flexible TFT Circuit Analyzer Considering Process Variation, Aging, and Bending EffectsCHIEN-MO LI ; EH Ma; WE Wei; CHIEN-MO LI VLSI/CAD
742012Launch-on-Shift Test Generation for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains,CHIEN-MO LI ; JIUN-LANG HUANG ; S. Wu; L. T. Wang; X. Wen; W. B. Jone; M. S. Hsiao; F. Li; J. C. M. Li; J. L. Huang; CHIEN-MO LI ; JIUN-LANG HUANG ACM Transactions on Design Automation of Electronic Systems (TODAES)0
752012Multi-Mode Automatic Test Pattern Generation for Dynamic Voltage and Frequency Scaling DesignsCHIEN-MO LI ; B. C. Bai; CHIEN-MO LI ITC 
762012Testing of TSV-induced Small Delay Faults for Three Dimensional Integrated Circuits,CHIEN-MO LI ; C.Y. Kuo; C. J. Shih; J. C. M. Li; K. Chakrabarty; CHIEN-MO LI IEEE 3D IC Test workshop 
772012An at-speed test technique for high-speed high-order adder by a 6.4-GHz 64-bit domino adder exampleWang, Y.-S.; Hsieh, M.-H.; Li, J.C.-M.; CHIEN-MO LI ; CHUNG-PING CHEN IEEE Transactions on Circuits and Systems I: Regular Papers33
782011A Parallel Test Pattern Generation Algorithm to Meet Multiple Quality ObjectivesCHIEN-MO LI ; Liao, Kuan-Yu; Chang, Chia-Yuan; CHIEN-MO LI IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems13
792011An Asynchronous Design for Testability and Implementation in Thin-film Transistor TechnologyCHIEN-MO LI ; C. H. Cheng; CHIEN-MO LI Journal of Electronic Testing8
802011Thermal-aware Test scheduling for 3D ICsCHIEN-MO LI ; CY Hsu; JCM Li; K. Chakrbarty; CHIEN-MO LI IEEE Int’l 3D IC Test Workshop 
812011Compact test pattern Selection for Small Delay DefectsCHIEN-MO LI ; CY Chang; K.Y, Liao; CHIEN-MO LI VLSI/CAD 
822011Test-Clock Domain Optimization for Peak Power-Supply Noise Reduction During ScanCHIEN-MO LI ; R.Y. Wen; Y.C. Huang; M.H. Tsai; K.Y. Liao; J. C.-M. Li; M.-T. Chang; M.-H. Tsai; C.-M. Tseng; H.-C. Li; CHIEN-MO LI International Test Conference
832011Row-linear feedback shift register-column x-masking technique for simultaneous testing of many-core system chipsCHIEN-MO LI ; W.C. Wang; CHIEN-MO LI IET Computers & Digital Techniques2
842011Using launch-on-capture for testing scan designs containing synchronous and asynchronous clock domainsWu, S.; Wang, L.-T.; Wen, X.; Jiang, Z.; Tan, L.; Zhang, Y.; Hu, Y.; Jone, W.-B.; Hsiao, M.S.; Li, J.C.-M.; Huang, J.-L.; JIUN-LANG HUANG ; CHIEN-MO LI IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems41
852011Placement optimization of flexible TFT digital circuitsLiu, C.; Ma, E.-H.; Wei, W.-E.; Li, J.; Cheng, I.-C.; Yeh, Y.-H.; I-CHUN CHENG ; CHIEN-MO LI IEEE Design and Test of Computers44
862011An at-speed self-testable technique for the high speed domino adderWang, Y.-S.; Hsieh, M.-H.; Liu, C.-M.; Liu, C.-W.; Li, J.C.-M.; CHIEN-MO LI ; CHUNG-PING CHEN Proceedings of the Custom Integrated Circuits Conference10
872011Placement optimization of flexible TFT digital circuitsLiu, W.-H.; Ma, E.-H.; Wei, W.-E.; CHIEN-MO LI Proceedings - 2011 IEEE 17th International Mixed-Signals, Sensors and Systems Test Workshop, IMS3TW 2011
882011Reliability and Validity Evidence of the Chinese Piers-Harris Children's Self-Concept Scale Scores Among Taiwanese ChildrenFlahive, Mon-hsin Wang; Chuang, Ying-Chih; CHIEN-MO LI Journal of Psychoeducational Assessment
892010Static timing analysis for flexible TFT circuitsCHIEN-MO LI ; Chao-Hsuan Hsu; Liu, C.; En-Hua Ma; CHIEN-MO LI Design Automation Conference (DAC)
902010CSER: BISER-based concurrent soft-error resilienceCHIEN-MO LI ; JIUN-LANG HUANG ; Laung-Terng Wang; Touba, N.A.; Zhigang Jiang; Shianling Wu; Jiun-Lang Huang; CHIEN-MO LI ; JIUN-LANG HUANG VLSI Test Symposium (VTS)
912010DFT and Minimum Leakage Pattern Generation for Static Power Reduction During Test and Burn-inCHIEN-MO LI ; W.-C. Kao; W.-S. Chuang; H.-T. Lin; J. C.-M. Li; V, Manquinho; CHIEN-MO LI IEEE Transactions on Very Large Scale Integration (VLSI) Systems1
922010Row-LFSR-Column (RLC) Test Response Masking TechniqueCHIEN-MO LI ; WC Wang; CHIEN-MO LI VLSI/CAD 
932010Method for adjusting clock domain during layout of integrated circuit and associated computer readable mediumCHIEN-MO LI ; J. Y. Wen; CHIEN-MO LI 
942010Reliability screening of a-Si TFT circuits: Very-low voltage and I <inf>DDQ</inf> TestingShen, S.-T.; Liu, C.; Ma, E.-H.; Cheng, I.-C.; Li, J.C.-M.; I-CHUN CHENG ; CHIEN-MO LI IEEE/OSA Journal of Display Technology21
952009Time-space test response compaction and diagnosis based on BCH codesCHIEN-MO LI ; F. M. Wang; W.-C. Wang; CHIEN-MO LI IET Computers & Digital Techniques0
962009Very-Low-Voltage Testing of Amorphous Silicon TFT CircuitsShiue-Tsung Shen,; Wei-Hsiao Liu,; En-Hua Ma,; J. C.-M. Li,; I-Chun Cheng,; I-CHUN CHENG ; CHIEN-MO LI IEEE Asian Test Symposium00
972009包含未知訊號之測試結果壓縮設計王偉哲 李建模; CHIEN-MO LI 
982009Power Scan: DFT for Power Switches in VLSI DesignsCHIEN-MO LI International Test Conference 
992009Electronic Design AutomationCHIEN-MO LI ; J. C.-M. Li; M. Hsiao; CHIEN-MO LI 
1002009Test Response Compaction in the Presence of Many UnknownsCHIEN-MO LI ; Wei-Che Wang; James C.-M. Lim; Yi-Chih Sung; Amy Rao; Laung-Terng Wang; CHIEN-MO LI VTTW 
1012009Transition Fault Diagnosis Using At-speed Test PatternsCHIEN-MO LI ; Shang-Feng Chao; Jheng-Yang Ciou; CHIEN-MO LI IEEE Int’l Workshop on RTL and High Level Testing 
1022009Bridging Fault Diagnosis to Identify the Layer of Systematic DefectsCHIEN-MO LI ; B. R. Chen; CHIEN-MO LI Asian Test Symposium
1032009Fault Modeling and Testing of Retention Flip-Flops in Low Power DesignsCHIEN-MO LI ; B. C. Bai; A. K Li; J. C.M. Li; K. C. Wu; CHIEN-MO LI Asia and South Pacific Design Automation Conference, ASP-DAC
1042009Very-Low-Voltage Testing of Amorphous Silicon TFT Circuits.Shen, Shiue-Tsung; Liu, Wei-Hsiao; Ma, En-Hua; Li, James Chien-Mo; I-CHUN CHENG ; CHIEN-MO LI Proceedings of the Eighteentgh Asian Test Symposium, ATS 2009, 23-26 November 2009, Taichung, Taiwan10
1052009Power scan: OFT for power switches in VLSI designsBai, B.-C.; Li, C.-M.; Kifli, A.; Tsai, E.; CHIEN-MO LI Proceedings - International Test Conference00
1062009BIST design optimization for large-scale embedded memory cores.Chien, Tzuo-Fan; Chao, Wen-Chi; Li, James Chien-Mo; Chang, Yao-Wen; Liao, Kuan-Yu; Chang, Ming-Tung; Tsai, Min-Hsiu; CHIEN-MO LI ; YAO-WEN CHANG 2009 International Conference on Computer-Aided Design, ICCAD 2009, San Jose, CA, USA, November 2-5, 200970
1072009Fault Simulation and Test GenerationLi, J.C.-M.; Hsiao, M.S.; CHIEN-MO LI Electronic Design Automation
1082008非同步電路可測試設計鄭啟玄; 李建模 
1092008可應用於軟性電子的TFT電路設計技術之開發-子計畫六:可應用於軟性電子數位電路測試及容錯技術之開發(2/3)李建模 
1102008Simultaneous capture and shift power reduction test pattern generator for scan testingCHIEN-MO LI ; H.T. Lin; CHIEN-MO LI IET Computers & Digital Techniques
1112008Survey of Scan Chain DiagnosisCHIEN-MO LI ; Y. Huang; R Guo; W.T. Cheng; CHIEN-MO LI IEEE Design & Test of Computers
1122008Capture and Shift Toggle Reduction (CASTR) ATPG to Minimize Peak Power Supply Noise,CHIEN-MO LI ; Hsiu-Ting Lin; Jen-Yang Wen; James Li; Ming-Tung Chang; Min-Hsiu Tsai; Sheng-Chih Huang; Chih-Mou Tseng; CHIEN-MO LI Capture and Shift Toggle Reduction (CASTR) ATPG to Minimize Peak Power Supply Noise
1132008IEEE 1500 Compatible Secure Test Wrapper For Embedded IP CoresCHIEN-MO LI ; Geng-Ming Chiu; C.-Y. Chiu; R-Y. Wen; CHIEN-MO LI International Test Conference
1142008Diagnosis of Logic-chain Bridging FaultsCHIEN-MO LI ; Wei-Chih Liu; Wei-Lin Tsai; Hsiu-Ting Lin; CHIEN-MO LI IEEE Int’l Workshop on RTL and High Level Testing
1152008An Asynchronous DFT Technique for TFT MacroelectronicsCHIEN-MO LI ; C. H. Cheng; C.-H. Hsu; CHIEN-MO LI International Symposium on Flexible Electronics and Display (ISFED) 
1162008Diagnosis of Multiple Scan Chain Timing FaultsCHIEN-MO LI ; W.S. Chuang; CHIEN-MO LI IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems8
1172008A Dual-rail Asynchronous Scan Chain Design and Its Implementation in TFT TechnologyCHIEN-MO LI ; C. H. Cheng; CHIEN-MO LI VLSI/CAD 
1182008Effective and Economic Phase Noise Testing for Single-Chip TV TunersCHIEN-MO LI ; J. C.-M. Li; P.-C. Lin; P.-C. Chiang; C.-M. Pan; C.W. Tseng; CHIEN-MO LI IEEE Transactions on Instrumentation and Measurement0
1192008Phase Noise Testing of Single Chip TV Tuners,CHIEN-MO LI ; P.-C. Lin; C.-H. Hsu; J. C.-M. Li; C.-M. Chiang; C.-J. Pan,; CHIEN-MO LI IEEE VLSI-DAT
1202008Transition Fault Diagnosis Using At-speed Scan Patterns with Multiple Capture ClocksCHIEN-MO LI ; Shang-Feng Chao; CHIEN-MO LI VLSI/CAD 
1212008On optimizing fault coverage, pattern count, and ATPG run time using a hybrid single-capture scheme for testing scan designsWu, S.; Wang, L.-T.; Jiang, Z.; Song, J.; Sheu, B.; Wen, X.; Hsiao, M.S.; Li, J.C.-M.; Huang, J.-L.; CHIEN-MO LI ; JIUN-LANG HUANG Proceedings - IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems10
1222008A two-level simultaneous test data and time reduction technique for SOCLiaw, Y.-T.; Bai, B.-C.; CHIEN-MO LI Journal of Information Science and Engineering
1232007可應用於軟性電子的TFT電路設計技術之開發-子計畫六:可應用於軟性電子數位電路測試及容錯技術之開發(1/3)李建模 
1242007Design and Chip Implementation of the Segment Weighted Random BIST for Low Power TestingCHIEN-MO LI ; Chun-Yi Lee; CHIEN-MO LI Journal of Low Power Electronic 
1252007適用於建築結構監控之無線感測網路系統-子計畫二:超低功率可容錯及自測基頻通訊積體電路之研製(I)李建模 
1262007奈米IC設計之前瞻電子設計自動化技術-子計畫六:在奈米製程下考量信號完整度之測試與診斷技術 (新制多年期第1年)李建模 
1272007Column Parity Row Selection (CPRS) BIST Diagnosis Technique: Modeling and AnalysisCHIEN-MO LI ; J. C.-M. Li; Hung-Mao Lin; Fang Min Wang; CHIEN-MO LI IEEE Transactions on Computers
1282007Response Inversion Scan Cell (RISC): A Peak Capture Power Reduction TechniqueCHIEN-MO LI ; B.-H. Chen; Wei-Chuang Kao; Bin-Chuan Bai; Shyue-Tsong Shen; CHIEN-MO LI IEEE Asian Test Symposium 
1292007Cyclic-CPRS : A Diagnosis Technique for BISTed Circuits for Nano-meter TechnologiesCHIEN-MO LI ; C.Y. Lee; H.M. Lin; F.M. Wang; CHIEN-MO LI IEEE Asian South Pacific Design Automation Conference (ASP-DAC)
1302006跳躍式掃描: 低功率可測試設計CHIEN-MO LI ; 邱銘豪; 李建模
1312006Jump Simulation: A Fast and Precise Scan Chain Diagnosis TechniqueCHIEN-MO LI ; Y. L Kao; W. S. Chuang; CHIEN-MO LI IEEE International Test Conference
1322006VLSI Test Principles and ArchitecturesLaung-Terng Wang; Cheng-Wen Wu; Xiaoqing Wen; Khader S. Abdel-Hafez; Wen-Ben Jone; Rohit Kapur; Brion Keller; Kuen-Jong Lee; CHIEN-MO LI ; Mike Peng Li; Xiaowei Li; T.M. Mak; Yinghua Min; Benoit Nadeau-Dostie; Soumendu Bhattacharya; Mehrdad Nourani; Janusz Rajski; Charles Stroud; Erik H. Volkerink; Duncan M. (Hank) Walker; Shianling Wu; Nur A. Touba; Abhijit Chatterjee; Xinghao Chen; Kwang-Ting (Tim) Cheng; William Eklow; Michael S. Hsiao; Jiun-Lang Huang; Shi-Yu Huang
1332006CRC BIST: A Low Peak Power Self TechniqueCHIEN-MO LI ; Bo-Hua Chen; CHIEN-MO LI VLSI/CAD 
1342006Logic and fault simulationHuang, J.-L. ; Li, J.C.-M. ; Walker, D.M.VLSI Test Principles and Architectures00
1352005Diagnosis of Multiple Hold-time and Setup-time Faults in Scan ChainsCHIEN-MO LI IEEE Transactions on Computers31
1362005Diagnosis of Resistive and Stuck-open Defects in Digital CMOS ICCHIEN-MO LI ; Li, J. C.-M.; E. J. McCluskey; CHIEN-MO LI IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems26
1372005掃描鏈中多重時間錯誤之診斷李建模 
1382005Column Parity and Row Select (CPRS): BIST Diagnosis for Errors in Multiple Scan ChainsCHIEN-MO LI ; H.M. Lin; CHIEN-MO LI International Test Conference
1392005子計畫五:具有自我測試功能之低功率基頻數位收發機電路 設計(1/2)李建模 
1402005Diagnosis of Single stuck-at Faults and Multiple Timing Faults in Scan ChainsCHIEN-MO LI IEEE Transactions on Very Large Scale Integration (VLSI) Systems38
1412005Jump Scan: A DFT Technique for Low Power Testing,CHIEN-MO LI ; M.H. Chiu; CHIEN-MO LI IEEE VLSI Test Symposium
1422005Diagnosis of Timing Faults in Scan Chains Using Single Excitation PatternsCHIEN-MO LI IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences5
1432005Effective and Economic Phase Noise Testing for Single Chip TV TunersCHIEN-MO LI ; P.C. Lin; J. C.-M. Li; Chih-Ming Chiang; Chuo-Jan Pan; CHIEN-MO LI VLSI/CAD Symposium 
1442005Segmented Weighted Random BIST (SWR-BIST) Technique for Low Power TestingCHIEN-MO LI ; Lee, C-Y; CHIEN-MO LI Asia Solid-State Circuit Conference (ASSCC)
1452005A Two-level Test Data Compression and Test Time Reduction Technique for SOCCHIEN-MO LI ; Yu-Te Liaw; CHIEN-MO LI VLSI/CAD Symposium 
1462004單晶片電視調諧器之經濟有效測試方法李建模 
1472004A Design for Testability Technique for Low Power Delay Fault TestingCHIEN-MO LI IEICE Transactions on Electronics
1482004Diagnosis of Scan Chains with Multiple Timing Faults Using Single Excitation PatternsCHIEN-MO LI ; C. K. Yo; CHIEN-MO LI VLSI/CAD Symposium 
1492004Design and Implementation of a Low Power Delay Fault Built-in Self Test TechniqueCHIEN-MO LI ; L. W. Ko; CHIEN-MO LI VLSI/CAD Symposium 
1502004ELF-Murphy Data on Defects and Test SetsCHIEN-MO LI ; E. J. McCluskey; A. Alyamani; J. C. M. Li; C. W. Tseng; E. Volkerink; F. F. Feriani; E. Li; S. Mitra; CHIEN-MO LI IEEE VLSI Test Symposium
1512004具有內建自我測試功能之5GHz超低功率無線通訊系統之研製─子計畫五:具有自我測試功能之低功率基頻數位收發機電路設計李建模 
1522002Experimental Results for Slow Speed TestingCHIEN-MO LI ; C.W.Tseng; J.C.M. Li; E. J. McCluskey; CHIEN-MO LI IEEE VLSI Test Symposium
1532002Diagnosis for Sequence Dependent ChipsCHIEN-MO LI ; Li, J. C.M.; E. J. McCluskey; CHIEN-MO LI IEEE VLSI Test Symposium
1542001Testing for Resistive and Stuck OpensCHIEN-MO LI ; Li, J. C.M.; Tseng, C.W.; E.J. McCluskey; CHIEN-MO LI International Test Conference
1552001Diagnosis of Tunneling OpensCHIEN-MO LI ; Li, J. C.M.; E.J. McCluskey; CHIEN-MO LI IEEE VLSI Test Symposium
1562001Pseudo Random Testing Theoretical Models vs. Real DataCHIEN-MO LI ; Mitra; S.; C.W. Tseng; J. C. M Li; E. J. McCluskey; CHIEN-MO LI IEEE International Workshop on Test Resource Partitioning 
1572000Testing for tunneling opens.Li, Chien-Mo James; McCluskey, Edward J.; CHIEN-MO LI Proceedings IEEE International Test Conference 2000, Atlantic City, NJ, USA, October 2000
1581998Analysis of pattern-dependent and timing-dependent failures in an experimental test chip.Chang, Jonathan T.-Y.; Tseng, Chao-Wen; Li, Chien-Mo James; Purtell, Mike; McCluskey, Edward J.; CHIEN-MO LI Proceedings IEEE International Test Conference 1998, Washington, DC, USA, October 18-22, 1998
1591998IDDQ data analysis using current signatureLi, J.C.M.; McCluskey, E.J.; CHIEN-MO LI Proceeding - 1998 IEEE International Workshop on IDDQ Testing, IDDQ 1998