第 1 到 165 筆結果,共 165 筆。

公開日期標題作者來源出版物scopusWOS全文
12024Optimizing ultra-wideband balanced power amplifiers through the selection of different output impedance transformation based on SiP techniquesHong, Chung Hung; Cheng, Sheng Jen; Shen, Pi Neng; CHUNG-PING CHEN AIP Advances
22023High Swing VCO with Current-Reused Frequency Doubler and Darlington AmplifierCheng, Sheng Jen; Jang, Sheng Lyang; Chen, Hui; Hong, Chung Hung; CHUNG-PING CHEN 2023 International VLSI Symposium on Technology, Systems and Applications, VLSI-TSA/VLSI-DAT 2023 - Proceedings00
32023Injection-locked Frequency Sixtuplers in 90nm CMOS by Using the Push-Push DoublerCheng, Sheng Jen; Shen, Pi Neng; Hong, Chung Hung; Chen, Zheng Wei; CHUNG-PING CHEN ; Jang, Sheng LyangIEEE Access00
42023A Ripple-Based Constant On-Time Controlled DC-DC Buck Converter with Inductor Current Sensing TechniqueCheng, Sheng Jen; Tsai, Chieh Ju; Wang, Sheng Yu; Liu, Wei Yi; CHUNG-PING CHEN Proceedings - IEEE International Symposium on Circuits and Systems00
52023A 0.02mm<sup>2</sup>Sub-Sampling PLL with Spur Reduction Technique in 90nm CMOS TechnologyCheng, Sheng Jen; Qiu, You Rong; Hong, Chung Hung; Liu, Wei Yi; Li, Chia Hsuan; CHUNG-PING CHEN 2023 International VLSI Symposium on Technology, Systems and Applications, VLSI-TSA/VLSI-DAT 2023 - Proceedings00
62021Opportunities for 2.5/3D Heterogeneous SoC IntegrationCHUNG-PING CHEN ; HUI-RU JIANG ; JIUN-LANG HUANG ; YAO-WEN CHANG 2021 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2021 - Proceedings10
72021An accurate bladder volume measurement algorithm via multi-dimensional image and spatial-information using point-of-care ultrasound onlyChen P.-X; CHUNG-PING CHEN Proceedings of SPIE - The International Society for Optical Engineering
82020A Quasi-V2 Hysteretic Buck Converter with Adaptive COT Control for Fast DVS and Load-Transient Response in RF ApplicationsTing C.-Y; Lin J.-Y; CHUNG-PING CHEN IEEE Transactions on Circuits and Systems II: Express Briefs1517
92020Intelligent Design Automation for 2.5/3D Heterogeneous SoC IntegrationJiang I.H.-R; Chang Y.-W; Huang J.-L; CHUNG-PING CHEN ; HUI-RU JIANG ; JIUN-LANG HUANG ; YAO-WEN CHANG IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD50
102019A transient enhancement DC-DC buck converter with dual operating modes control techniqueHsu, Y.-C.; Ting, C.-Y.; Hsu, L.-S.; Lin, J.-Y.; CHUNG-PING CHEN IEEE Transactions on Circuits and Systems II: Express Briefs2622
112019An inductor current balancing technique for FLDLL based four-phase buck converter with transient-modulated constant on-time control for load transient responseTing, C.-Y.; Ko, C.-C.; Lin, J.-Y.; CHUNG-PING CHEN 2019 4th International Conference on Intelligent Green Building and Smart Grid, IGBSG 2019
122019Electromechanical coupling of botanic cells: Theory and applicationsChen C.C; Shih W.P.; CHUNG-PING CHEN Handbook of Mechanics of Materials
132019A Real Time EEG Analysis System for the Prediction of Clinical Antidepressant ResponsesHung, S.-H.; Wu, I.; Li, Y.-C.; Li, C.-T.; CHUNG-PING CHEN International Conference on Digital Signal Processing, DSP
142019Frequency hopping and parallel driving with random delay especially suitable for the charger noise problem in mutual-capacitive touch applicationsHuang, S.-L.; Hung, S.-Y.; CHUNG-PING CHEN IEEE Access
152019Subjective Interpupillary Distance of Measurement TechniqueLiao, J.-Y.; Chen, D.-C.; Chang, S.-T.; Chen, C.-P.; Wu, C.-H.; Hsu, C.-K.; CHUNG-PING CHEN Proceedings - 2019 International Symposium on Intelligent Signal Processing and Communication Systems, ISPACS 2019
162018Cost-effective and channel-scalable hardware decoders for multiple electron-beam direct-write systemsYu, Chun-Chang; Lin, Pei-Chun; Lu, Yi-Chang; PEI-CHUN LIN ; CHUNG-PING CHEN Journal of Micro/Nanolithography, MEMS, and MOEMS00
172018Area-saving and high-efficiency rgb led driver with adaptive driving voltage and energy-saving techniqueHsu, Y.-C.; Lin, J.-Y.; CHUNG-PING CHEN Energies33
182018A single-stage asymmetrical half-bridge flyback converter with resonant operationTing, C.-Y.; Chieh Hsu, Y.-.; Lin, J.-Y.; CHUNG-PING CHEN Energies22
192018Buck DC-DC converter for fast transient response using dual current pumping control techniqueHsu, Y.-C.; Hsu, L.-S.; Lin, J.-Y.; CHUNG-PING CHEN IGBSG 2018 - 2018 International Conference on Intelligent Green Building and Smart Grid
202017Is categorization of random data necessary for parallel analysis on Likert-type data?Weng, Li-Jen; CHUNG-PING CHEN ; LI-JEN WENG Communications in Statistics - Simulation and Computation55
212017An efficient DFT-based algoritiim for the charger noise problem in capacitive touch applicationsHuang, S.-L.; Hung, S.-Y.; CHUNG-PING CHEN Proceedings - IEEE International Symposium on Circuits and Systems
222017Synchronous Double-Pumping Technique for Integrated Current-Mode PWM DC-DC Converters Demand on Fast-Transient ResponseWu, K.-I.; Hwang, B.-T.; CHUNG-PING CHEN IEEE Transactions on Power Electronics
232016Robust dermatological wound image segmentation in clinical photosChang, Chih; Ho, Te-We; JIN-MING WU ; Tsai, Hsing-Hua; CHUNG-PING CHEN ; FEI-PEI LAI ; HAO-CHIH TAI ; NAI-CHEN CHENG 2015 E-Health and Bioengineering Conference, EHB 201540
242016A 6.7 MHz to 1.24 GHz 0.0318 mm 2 Fast-Locking All-Digital DLL Using Phase-Tracing Delay Unit in 90 nm CMOSHsieh, M.-H.; Chen, L.-H.; Liu, S.-I.; SHEN-IUAN LIU ; CHUNG-PING CHEN IEEE Journal of Solid-State Circuits1919
252016Effects of pudendal neuromodulation on bladder function in chronic spinal cord-injured ratsLin Y.-T.; Hsieh T.-H.; Chen S.-C.; Lai C.-H.; Kuo T.-S.; Chen C.-P.; Lin C.-W. ; Young S.-T.; CHUNG-PING CHEN Journal of the Formosan Medical Association44
262016Lossless compression algorithm based on dictionary coding for multiple e-beam direct write systemLin, P.-C.; Pai, Y.-H.; Chiu, Y.-H.; Fang, S.-Y.; CHUNG-PING CHEN Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016
272015Efficient three-dimensional resist profile-driven source mask optimization optical proximity correction based on Abbe-principal component analysis and Sylvester equationLin, P.-C.; Yu, C.-C.; PEI-CHUN LIN ; CHUNG-PING CHEN Journal of Micro/ Nanolithography, MEMS, and MOEMS11
282015Musical Tension over Time: Listeners’ Physiological Responses to the ‘Retransition’ in Classical Sonata FormCHEN-GIA TSAI ; CHUNG-PING CHEN Journal of New Music Research67
292015A 160MHz-to-2GHz low jitter fast lock all-digital DLL with phase tracking techniqueHung, S.-H.; Kao, W.-H.; Wu, K.-I.; Huang, Y.-W.; Hsieh, M.-H.; CHUNG-PING CHEN Proceedings - IEEE International Symposium on Circuits and Systems
302015A 8.1/5.4/2.7/1.62 Gb/s receiver for DisplayPort Version 1.3 with automatic bit-rate tracking schemeChien, A.; Hung, S.-H.; Wu, K.-I.; Liu, C.-Y.; Hsieh, M.-H.; CHUNG-PING CHEN Proceedings - IEEE International Symposium on Circuits and Systems
312015An efficient multi-touch tracking algorithm with a large number of pointsHuang, S.-L.; Hung, S.-Y.; Chen, C.C.-P.; Tsao, C.-H.; Chang, N.-W.; CHUNG-PING CHEN IEEE International Conference on Consumer Electronics - Berlin, ICCE-Berlin
322015A fast-settling high linearity auto gain control for broadband OFDM-based PLC systemWu, K.-I.; Hung, S.-Y.; Hung, S.-H.; CHUNG-PING CHEN Proceedings - IEEE International Symposium on Circuits and Systems
332015A -194 dBc/Hz FOM interactive current-reused QVCO (ICR-QVCO) with capacitor-coupling self-switching sinusoidal current biasing (CSSCB) phase noise reduction techniqueWu, K.-I.; Shen, I.-S.; Jou, C.F.; CHUNG-PING CHEN IEEE Symposium on VLSI Circuits, Digest of Technical Papers
342014Cost-efficient hardware implementation of stereo image depth optimization systemYu, C.-C.; Cheng, C.-H.; Lin, P.-C.; CHUNG-PING CHEN 2014 International Conference on 3D Imaging, IC3D 2014 - Proceedings
352014Current-mode adaptively hysteretic control for buck converters with fast transient response and improved output regulationWu, K.-I.; Hung, S.-H.; Shieh, S.-Y.; Hwang, B.-T.; Hung, S.-Y.; CHUNG-PING CHEN Proceedings - IEEE International Symposium on Circuits and Systems
362013A high-accuracy multidomain legendre pseudospectral frequency-domain method with penalty scheme for solving scattering and coupling problems of nano-cylindersWang, C.-Y.; Chung, S.-Y.; Teng, C.-H.; Wang, J.-K. ; Chen, C.-P.; HUNG-CHUN CHANG ; CHUNG-PING CHEN Journal of Lightwave Technology11
372013High-accuracy waveguide leaky-mode analysis using a multidomain pseudospectral frequency-domain method incorporated with stretched coordinate PMLWang, C.-Y.; Liu, H.-H.; Chung, S.-Y.; Teng, C.-H.; Chen, C.-P.; HUNG-CHUN CHANG ; CHUNG-PING CHEN Journal of Lightwave Technology88
382013High speed and flexible PEB 3D diffusion simulation based on Sylvester equationLin, P.-C.; CHUNG-PING CHEN Proceedings of SPIE - The International Society for Optical Engineering
392013A high dynamic range programmable gain amplifier for HomePlug AV powerline communication systemHung, S.-Y.; Chan, K.-H.; CHUNG-PING CHEN Proceedings - IEEE International Symposium on Circuits and Systems
402013A significant multi-touch algorithm for the tracking problem based on the Hungarian algorithmHuang, S.-L.; CHUNG-PING CHEN Digest of Technical Papers - SID International Symposium
412013A 10-bit current-steering DAC for HomePlug AV2 powerline communication system in 90nm CMOSCheng, W.-S.; Hsieh, M.-H.; Hung, S.-H.; Hung, S.-Y.; CHUNG-PING CHEN Proceedings - IEEE International Symposium on Circuits and Systems
422013A 52 dBc MTPR line driver for powerline communication HomePlug AV standard in 0.18-μm CMOS technologyLiu, P.-K.; Hung, S.-Y.; Liu, C.-Y.; Hsieh, M.-H.; CHUNG-PING CHEN Proceedings - IEEE International Symposium on Circuits and Systems
432012Simulations of dielectric and plasmonic waveguide-coupled ring resonators using the Legendre pseudospectral time-domain methodChung, S.-Y.; Wang, C.-Y.; Teng, C.-H.; Chen, C.-P.; HUNG-CHUN CHANG ; CHUNG-PING CHEN Journal of Lightwave Technology98
442012An at-speed test technique for high-speed high-order adder by a 6.4-GHz 64-bit domino adder exampleWang, Y.-S.; Hsieh, M.-H.; Li, J.C.-M.; CHIEN-MO LI ; CHUNG-PING CHEN IEEE Transactions on Circuits and Systems I: Regular Papers33
452012Investigation of nanoelectromagnetics problems using the multidomain legendre pseudospectral time-domain methodChang, H.-C.; Chung, S.-Y.; Wang, C.-Y.; Teng, C.-H.; Wang, J.-K. ; CHUNG-PING CHEN 2012 IEEE International Workshop on Electromagnetics: Applications and Student Innovation Competition, iWEM 201200
462012A 6.7MHz-to-1.24GHz 0.0318mm2fast-locking all-digital DLL in 90nm CMOSHsieh, M.-H.; Chen, L.-H.; Liu, S.-I.; CHUNG-PING CHEN Digest of Technical Papers - IEEE International Solid-State Circuits Conference
472012Efficient thermal simulation for 3-D IC with thermal through-silicon viasOh, D.; Chen, C.C.P.; Hu, Y.H.; CHUNG-PING CHEN IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
482012A 2 -8 GHz multi-phase distributed DLL using phase insertion in 90 nmHsieh, M.-H.; Lin, B.-F.; Wang, Y.-S.; Chang, H.-H.; CHUNG-PING CHEN ISCAS 2012 - 2012 IEEE International Symposium on Circuits and Systems
492011An at-speed self-testable technique for the high speed domino adderWang, Y.-S.; Hsieh, M.-H.; Liu, C.-M.; Liu, C.-W.; Li, J.C.-M.; CHIEN-MO LI ; CHUNG-PING CHEN Proceedings of the Custom Integrated Circuits Conference10
502011Epileptic seizure detection for multichannel EEG signals with support vector machinesShen, C.-P.; Chan, C.-M.; Lin, F.-S.; MING-JANG CHIU ; Lin, J.-W.; Kao, J.-H.; Chen, C.-P.; Lai, F.; CHUNG-PING CHEN 11th IEEE International Conference on Bioinformatics and Bioengineering290
512011Characterization of OsSUT2 expression and regulation in germinating embryos of rice seedsSiao, W.; Chen, J.-Y.; Hsiao, H.-H.; Chung, P.; Wang, S.-J.; SHU-JEN WANG ; Chung, Ping Rice1815
522011Numerical investigation of light scattering by coupled plasmonic nanospheres using a high-accuracy multidomain Legendre pseudospectral time-domain methodChung, S.-Y.; Wang, C.-Y.; Teng, C.-H.; Chen, C.-P.; Chang, H.-C.; CHUNG-PING CHEN 2011 30th URSI General Assembly and Scientific Symposium, URSIGASS 2011
532011A mathematical method for VLSI thermal simulation at the system and circuit levelsOh, D.; Chen, C.C.P.; Hu, Y.H.; CHUNG-PING CHEN Recent Topics on Modeling of Semiconductor Processes, Devices, and Circuits
542011Hierarchical kernel generation for SMO applicationChang, J.H.-C.; Chen, C.C.-P.; Melvin III, L.S.; CHUNG-PING CHEN Proceedings of SPIE - The International Society for Optical Engineering
552011Modelling plasmonic waveguide resonators using pseudospectral methodsChung, S.-Y.; Wang, C.-Y.; Teng, C.-H.; Chen, C.-P.; Chang, H.-C.; CHUNG-PING CHEN 2011 ICO International Conference on Information Photonics, IP 2011
562011A 12 Gb/s chip-to-chip AC coupled transceiverWang, Y.-S.; Hsieh, M.-H.; Wu, Y.-C.; Liu, C.-M.; Chiu, H.-C.; Lin, B.-F.; CHUNG-PING CHEN Proceedings - IEEE International Symposium on Circuits and Systems
572011A 1.2V 6.4GHz 181ps 64-bit CD domino adder with DLL measurement techniqueWang, Y.-S.; Hsieh, M.-H.; Liu, C.-M.; Wu, Y.-C.; Lin, B.-F.; Chiu, H.-C.; CHUNG-PING CHEN Proceedings - IEEE International Symposium on Circuits and Systems
582010A Legendre Pseudospectral Frequency-Domain Method for Solving Maxwell’s EquationsC. Y. Wang; S. Y. Chung; C. H. Teng; C. P. Chen; HUNG-CHUN CHANG ; CHUNG-PING CHEN XX URSI Commission B International Symposium on Electromagnetic Theory (EMT-S 2010)10
592010Electromagnetic Simulations of 2D Optical Microring Resonators Using the Multidomain Legendre Pseudospectral Time-Domain MethodS. Y. Chung; C. Y. Wang; C. H. Teng; C. P. Chen; HUNG-CHUN CHANG ; CHUNG-PING CHEN International Conference on Applications of Electromagnetism and Student Innovation Competition Awards00
602010GOP-level parallelization of the H.264 decoder without a start-code scannerG?rhanli, A.; Chen, C.C.-P.; SHIH-HAO HUNG ; CHUNG-PING CHEN ICSPS 2010 - Proceedings of the 2010 2nd International Conference on Signal Processing Systems60
612010LTCC spiral inductor synthesis and optimization with measurement verificationLu, H.-C.; Chan, T.B.; Chen, C.C.-P.; Liu, C.-M.; Hsing, H.-J.; Lu, Hsin-Chia ; CHUNG-PING CHEN IEEE Transactions on Advanced Packaging1917
622010Provably all-convex optimal minimum-error convex fitting algorithm using linear programmingLi, T.-Y.; Chang, J.H.-C.; Hung, S.-P.; CHUNG-PING CHEN Proceedings of 2010 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2010
632010Accurate and analytical statistical spatial correlation modeling based on singular value decomposition for VLSI DFM applicationsLiu, J.-H.; Tsai, M.-F.; Chen, L.; CHUNG-PING CHEN IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
642010Runtime temperature-based power estimation for optimizing throughput of thermal-constrained multi-core processorsOh, D.; Kim, N.S.; Chen, C.C.P.; Davoodi, A.; Hu, Y.H.; CHUNG-PING CHEN Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC
652010The compatibility analysis of thread migration and DVFS in multi-core processorOh, D.; Chen, C.C.P.; Kim, N.; Hu, Y.H.; CHUNG-PING CHEN Proceedings of the 11th International Symposium on Quality Electronic Design, ISQED 2010
662010Automatic mura detection based on thresholding the fused normalized first and second derivatives in four directionsJamleh, H.; Li, T.-Y.; Wang, S.-Z.; Chen, C.-W.; Kuo, C.-C.; Wang, K.-S.; CHUNG-PING CHEN Journal of the Society for Information Display
672010Abbe-PCA-SMO: Microlithography source and mask optimization based on Abbe-PCAChang, J.H.-C.; Chen, C.C.-P.; Melvin, L.S.; CHUNG-PING CHEN Proceedings of SPIE - The International Society for Optical Engineering
682010A multidomain legendre pseudospectral frequency-domain method with penalty scheme for solving Maxwell's equationsWang, C.-Y.; Chung, S.-Y.; Teng, C.-H.; Chen, C.-P.; Chang, H.-C.; CHUNG-PING CHEN International Conference on Applications of Electromagnetism and Student Innovation Competition Awards, AEM2C 2010
692010Interconnect delay and slew metrics using the extreme value distributionZeng, J.-K.; CHUNG-PING CHEN Proceedings of the 11th International Symposium on Quality Electronic Design, ISQED 2010
702009Efficient and accurate optical scatterometry diagnosis of grating variation based on segmented moment matching and singular value decomposition methodLiu, J.-H.; Liu, C.-W.; Huang, K.-J.; Li, T.-Y.; Chiu, M.-C.; Hong, J.-J.; Chen, C.C.-P.; Jao, C.-S.; LON A. WANG ; CHUNG-PING CHEN Microelectronic Engineering22
712009Hardware accelerated aerial image simulation by FPGAJamleh, H.; Li, T.-Y.; Wang, S.-Z.; Chen, C.-W.; Kuo, C.-C.; Wang, K.-S.; CHUNG-PING CHEN Proceedings - 2009 5th Southern Conference on Programmable Logic, SPL 2009
722009Abbe-PCA-SMO: Microlithography source and mask optimization based on Abbe-PCAChang, S.-J.; Chen, C.C.P.; Melvin III, L.S.; CHUNG-PING CHEN Proceedings of SPIE - The International Society for Optical Engineering
732009Abbe-PCA (Abbe-Hopkins): Microlithography aerial image analytical compact kernel generation based on principle component analysisTsai, M.-F.; Chang, S.-J.; Chen, C.C.P.; Melvin III, L.S.; CHUNG-PING CHEN Proceedings of SPIE - The International Society for Optical Engineering
742008超大型積體電路製程偏差統計型時序分析(I)陳中平 
752008LTCC spiral inductor modeling, synthesis, and optimizationChan, T.B.; Lu, H.-C.; Zeng, J.-K.; HSIN-CHIA LU ; CHUNG-PING CHEN Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC100
762008落花生二苯乙烯類對HL-60細胞株的影響鍾秉真; Chung, Ping-Chen 
772008High-speed microlithography aerial image simulation without four-dimensional singular-value decompositionCHUNG-PING CHEN Proceedings of SPIE - The International Society for Optical Engineering
782008Deep submicron interconnect timing model with quadratic random variable analysisZeng, J.-K.; CHUNG-PING CHEN Proceedings -Design, Automation and Test in Europe, DATE
792008Abbe singular-value decomposition: Compact Abbe's kernel generation for microlithography aerial image simulation using singular-value decomposition methodChen, C.C.P.; Gurhanli, A.; Chiang, T.-Y.; Hong, J.-J.; Melvin, L.S.; CHUNG-PING CHEN Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures
802008Accurate and analytical statistical spatial correlation modeling for vlsi DFM applicationsLiu, J.-H.; Tsai, M.-F.; Chen, L.; CHUNG-PING CHEN Proceedings - Design Automation Conference
812008An optimal algorithm for sizing sequential circuits for industrial library based designsRoy, S.; Hu, Y.H.; Chen, C.C.-P.; Hung, S.-P.; Chiang, T.-Y.; Tseng, J.-G.; CHUNG-PING CHEN Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC
822008Process-variation statistical modeling for VLSI timing analysisLiu, J.-H.; Zeng, J.-K.; Hong, A.-S.; Chen, L.; CHUNG-PING CHEN Proceedings of the 9th International Symposium on Quality Electronic Design, ISQED 2008
832008Gender Differences in Relationships of Actual and Virtual Social Support to Internet Addiction Mediated through Depressive Symptoms among College Students in Taiwan.Yeh, Yu-Chun; Ko, Huei-Chen; Wu, Jo Yung-Wei; CHUNG-PING CHEN Cyberpsy., Behavior, and Soc. Networking
8420073DFFT: Thermal analysis of non-homogeneous IC using 3D FFT green function methodOh, D.; Chen, C.C.P.; Hu, Y.H.; CHUNG-PING CHEN Proceedings - Eighth International Symposium on Quality Electronic Design, ISQED 2007
852007SmartSmooth: A linear time convexity preserving smoothing algorithm for numerically convex data with application to VLSI designRoy, S.; CHUNG-PING CHEN Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC
862007Analysis of fringing-electric-field-related capacitance behavior of narrow-channel FD SOI NMOS devices using 3D simulationChen, C.C.; Lin, O.G.; Kuo, J.B.; CHUNG-PING CHEN ICSICT-2006: 2006 8th International Conference on Solid-State and Integrated Circuit Technology, Proceedings
872007Computing Thresholds of Linguistic Saliency.Chung, Siaw-Fong; Ahrens, Kathleen; Cheng, Chung-Ping; Huang, Chu-Ren; Simon, Petr; CHUNG-PING CHEN Proceedings of the 21st Pacific Asia Conference on Language, Information and Computation, PACLIC 21, Seoul, Korea, November 1-3, 2007
882007Numerically convex forms and their application in gate sizingRoy, S.; Chen, W.; Chen, C.C.-P.; Hu, Y.H.; CHUNG-PING CHEN IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
892007Welcome notes ISQED07Chen, C.C.-P.; Srikantam, V.; Karnik, T.; Pedram, M.; Iranmanesh, A.; Mexiou, G.; CHUNG-PING CHEN Proceedings - Eighth International Symposium on Quality Electronic Design, ISQED 2007
902006Temperature-aware placement for SOCsTsai, J.-L.; Chen, C.C.-P.; Chen, G.; Goplen, B.; Qian, H.; Zhan, Y.; Kang, S.-M.; Wong, M.D.F.; Sapatnekar, S.S.; CHUNG-PING CHEN Proceedings of the IEEE
912006ConvexSmooth: A simultaneous convex fitting and smoothing algorithm for convex optimization problemsRoy, S.; CHUNG-PING CHEN Proceedings - International Symposium on Quality Electronic Design, ISQED
922006ICCAP-A linear time sparsification and reordering algorithm for 3-D BEM capacitance extractionJiang, R.; Chang, Y.-H.; CHUNG-PING CHEN IEEE Transactions on Microwave Theory and Techniques
932006Welcome NotesKarnik, T.; Chen, C.C.-P.; Alexiou, G.; Kahng, A.; Iranmanesh, A.; CHUNG-PING CHEN Proceedings - International Symposium on Quality Electronic Design, ISQED
942006Correlation-preserved statistical timing with a quadratic form of Gaussian variablesZhang, L.; Chen, W.; Hu, Y.; Gubner, J.A.; CHUNG-PING CHEN IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
952005Efficient Statistical Capacitance Variability Modeling with Orthogonal Principle Factor AnalysisRong Jiang; Wenyin Fu; Janet Meiling Wang; CHUNG-PING CHEN ICCAD250
962005Statistical Timing Analysis Driven Post-Silicon-Tunable Clock-Tree SynthesisJeng-Liang Tsai; Lizheng Zhang; CHUNG-PING CHEN ICCAD
972005ConvexFit: An Optimal Minimum-Error Convex Fitting and Smoothing Algorithm with Application to Gate-SizingSanghamitra Roy; Weijen Chen; CHUNG-PING CHEN ICCAD
982005整合電腦架構及實體佈局共同合成之環境(2/3)陳中平 
992005子計畫二:時序就是一切:論電源震盪,雜訊,及溫度對時序 之影響(2/3)陳中平 
1002005Correlation-Preserved Non-Gaussian Statistical Timing Analysis with Quadratic Timing ModelLizheng Zhang; Weijen Chen; Yuhen Hu; John A. Gubner; CHUNG-PING CHEN DAC
1012005ICCAP: A Linear Time Sparse Transformation and Reordering Algorithm for 3D BEM Capacitance ExtractionRong Jiang; CHUNG-PING CHEN DAC
1022005Substrate-Bias Optimized 0.18um 2.5 GHz 32-bit adder with Post-Manufacture Tunable ClockQi-Wei Kuo; Vikas Sharma; CHUNG-PING CHEN VLSI-TSA-DAT
10320051-V 7-mW Dual-Band Fast-Locked Frequency SynthesizerVikas Sharma; Chien-Liang Chen; Charlie Chung-Ping Chen; CHUNG-PING CHEN GLSVLSI
1042005Statistical Timing Analysis with Extended Pseudo-Canonical Timing ModelLizheng Zhang; Weijen Chen; Yu-Hen Hu; CHUNG-PING CHEN DATE
1052005Comprehensive Frequency Dependent Interconnect Extraction and Evaluction MethodologyRong Jiang; CHUNG-PING CHEN ASPDAC 
1062005Fast and Effective Gate-Sizing with Multiple-Vt Assignment using Generalized Lagrangian RelaxationHsinwei Chou; Yu-Hao Wang; CHUNG-PING CHEN ASPDAC
1072005Linear Time Capacitance Extraction based on Implicit Congruence TransformationRong Jiang; Yu-hao Wang; CHUNG-PING CHEN International Microwave Symposium (IMS) 
1082005Wave-Pipelined On-Chip Global InterconnectLizheng Zhang; Yu-Hen Hu; CHUNG-PING CHEN ASPDAC 
1092005False Path and Clock Scheduling Based Yield-Aware Gate SizingJeng-Liang Tsai; DongHyun Baik; Charlie Chung-Ping Chen; Kewal K. Saluja; CHUNG-PING CHEN VLSI Design
1102005Statistical Static Timing Analysis with Conditional Linear MAX/MIN Approximation and Extended Canonical ModelLizheng Zhang; Weijen Chen; Yuhen Hu; CHUNG-PING CHEN IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD)
1112005Block-Based Statistical Timing Analysis with Extended Canonical Timing ModelLizheng Zhang; Yu-Hen Hu; CHUNG-PING CHEN ASPDAC
1122005EPEEC: A Compact Eddy-Current-Aware Reluctance-Based Macromodel for High-Speed Interconnects above Lossy Multilayer SubstrateRong Jiang; Wenyin Fu; CHUNG-PING CHEN IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD) 
1132005Process-Variation Robust and Low-Power Zero-Skew Buffered Clock-Tree Synthesis Using Projected Scan-Line SamplingJeng-Liang Tsai; CHUNG-PING CHEN ASPDAC
1142005Yield-driven, false-path-aware clock skew schedulingTsai, J.-L.; Baik, D.H.; Chen, C.C.-P.; Saluja, K.K.; CHUNG-PING CHEN IEEE Design and Test of Computers
1152005A linear time implicit congruence sparsification technique for BEM capacitance extractionJiang, R.; CHUNG-PING CHEN IEEE MTT-S International Microwave Symposium Digest
1162005EPEEC: Comprehensive SPICE-compatible reluctance extraction for high-speed interconnects above lossy multilayer substratesJiang, R.; Fu, W.; CHUNG-PING CHEN IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
1172005System-level power and thermal modeling and analysis by orthogonal polynomial based response surface approach (OPRS)Wang, J.M.; Srinivas, B.; Ma, D.; Chen, C.C.-P.; Li, J.; CHUNG-PING CHEN IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD
1182004A Yield Improvement Methodology Using Pre- and Post-Silicon Statistical Clock SchedulingJeng-Liang Tsai; DongHyun Baik; Charlie Chung-Ping Chen; Kewal K. Saluja; CHUNG-PING CHEN IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
1192004HiSIM: Hierarchical Interconnect-Centric Circuit SimulatorTsung-Hao Chen; Jeng-Liang Tsai; Charlie Chung-Ping Chen; Tanay Karnik; CHUNG-PING CHEN IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
1202004子計畫二:時序就是一切:論電源震盪,雜訊,及溫度對時序 之影響(1/3)陳中平 
1212004整合電腦架構及實體佈局共同合成之環境(1/3)陳中平 
1222004ESPRIT: A Compact Reluctance Based Interconnect Model Considering Lossy Substrate Eddy CurrentRong Jiang; CHUNG-PING CHEN International Microwave Symposium (IMS) 
1232004Statistical Timing Analysis in Sequential Circuit for On-Chip Global Interconnect PipeliningLizheng Zhang; Yu Hen Hu; CHUNG-PING CHEN IEEE/ACM Design Automation Conference (DAC)
1242004Zero-Skew Clock-Tree Optimization with Buffer-Insertion/Sizing and Wire-SizingJeng-Laing Tsai; Tsung-Hao Chen; CHUNG-PING CHEN IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD)
1252004SPICE-Compatible Thermal Simulation with Lumped Circuit Modeling for Thermal Reliability Analysis based on Model ReductionTing-Yuan Wang; CHUNG-PING CHEN 5th International Symposium on Quality Electronic Design (ISQED)
1262004Realizable Reduction for Electromagnetically Coupled RLMC InterconnectsRong Jiang; CHUNG-PING CHEN Design, Automation and Test in Europe Conference and Exhibition (DATE)
1272004SCORE: SPICE Compatible Reluctance ExtractionRong Jiang; CHUNG-PING CHEN Design, Automation and Test in Europe Conference and Exhibition (DATE)
1282004Thermal and Power Integrity based Power/Ground Networks OptimizationTing-Yuan Wang; Jeng-Liang Tsai; CHUNG-PING CHEN Design, Automation and Test in Europe Conference and Exhibition (DATE)
1292004LARTTE: A Posynomial-Based Lagrangian Relaxation Tuning Tool for Fast and Effective Gate-Sizing and Multiple Vt AssignmentHsinwei Chou; Yu-Hao Wang; CHUNG-PING CHEN The 12th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI) 
1302004Statistical Timing Analysis with AMECT: Asymptotic MAX/MIN Approximation and Extended Canonical Timing ModelLizheng Zhang; Yu-Hen Hu; CHUNG-PING CHEN The 12th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI) 
1312004Wave-pipelined On-Chip Global InterconnectLizheng Zhang; Yuhen Hu; CHUNG-PING CHEN ACM/IEEE TAU Workshop on Timing Issues in the Specification and Synthesis of Digital Systems 
1322004A ROBDD-Based Generalized Nodal Control Scheme for Standby Leakage Power ReductionHsinwei Chou; CHUNG-PING CHEN The 12th Workshop on Synthesis And System Integration of Mixed Information technologies
1332004Power-Delivery Networks Optimization with Thermal Reliability IntegrityTing-Yuan Wang; Jeng-Liang Tsai; |CHUNG-PING CHEN ACM International Symposium on Physical Design (ISPD)
1342004HiPRIME: Hierarchical and Passivity Preserved Interconnect Macromodeling Engine for RLKC Power DeliveryYu-Min Lee; Yahong Cao; Tsung-Hao Chen; Janet Wang; CHUNG-PING CHEN IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD)40
1352003SuPREME: Substrate and Power-delivery Reluctance-Enhanced Macromodel EvaluationTsung-Hao Chen; Clement Luk; CHUNG-PING CHEN IEEE/ACM International Conference on Computer Aided Design (ICCAD)
1362003Thermal-ADI: A Linear-Time Chip-Level Dynamic Thermal Simulation Algorithm Based on Alternating-Direction-Implicit (ADI) MethodTing-Yuan Wang; CHUNG-PING CHEN IEEE Transaction on Very Large Scale Integration Systems (TVLSI)39
1372003INDUCTWISE: Inductance-Wise Interconnect Simulator and ExtractorTsung-Hao Chen; Clement Luk; CHUNG-PING CHEN IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)
1382003PODEA: POwer Delivery Efficient Analysis with Realizable Model ReductionRong Jiang; Tsung-Hao Chen; CHUNG-PING CHEN IEEE International Symposium on Circuits and Systems (ISCAS)
13920033D Thermal-ADI: An Efficient Chip-Level Transient Thermal SimulatorTing-Yuan Wang; Yu-Min Lee; CHUNG-PING CHEN ACM International Symposium on Physical Design (ISPD)
1402003Epsilon-Optimal Zero-Skew Clock Tree Wire-Sizing in Pseudo-Polynomial TimeJeng-Laing Tsai; Tsung-Hao Chen; CHUNG-PING CHEN ACM International Symposium on Physical Design (ISPD)
1412003The Power Grid Transient Simulation in Linear Time based on 3D Alternating-Direction-Implicit MethodYu-Min Lee; CHUNG-PING CHEN Design, Automation and Test in Europe Conference and Exhibition (DATE)
1422003The power grid transient simulation in linear time based on 3-D alternating-direction-implicit methodLee, Y.-M.; CHUNG-PING CHEN IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
1432003A hierarchical analysis methodology for chip-level power delivery with realizable model reductionLee, Y.-M.; CHUNG-PING CHEN Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC
14420023-D Thermal-ADI: a linear-time chip level transient thermal simulatorTing-Yuan Wang; CHUNG-PING CHEN IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD)
1452002INDUCTWISE: Inductance-Wise Interconnect Simulator and ExtractorTsung-Hao Chen; Clement Luk; Hyungsuk Kim; CHUNG-PING CHEN IEEE/ACM Design Automation Conference (DAC)
1462002Power Grid Transient Simulation in Linear Time based on Transmission-Line-Modeling Alternating-Direction-Implicit MethodYu-Min Lee; CHUNG-PING CHEN IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD)12
1472002Optimal Wire-sizing Function under the Elmore Delay Model with Bounded WiresizesYu-Min Lee; Charlie Chung-Ping Chen; D. F. Wong; CHUNG-PING CHEN IEEE Transactions on Circuits & Systems-I (TCAS-I)
1482002HiPRIME: Hierarchical and Passivity Reserved Interconnect Macromodeling Engine for RLKC Power DeliveryYahong Cao; Yu-Min Lee; Tsung-Hao Chen; CHUNG-PING CHEN IEEE/ACM Design Automation Conference (DAC)
1492002Optimization of the Power/Ground Network Wire-Sizing and Spacing Based on Sequential Network Simplex AlgorithmTing-Yuan Wang; CHUNG-PING CHEN 3rd International Symposium on Quality Electronic Design (ISQED)
1502002Simultaneous Buffer-sizing and Wire-sizing for Clock Trees Based on Lagrangian RelaxationLEE, YU-MIN; CHEN, CHARLIE CHUNG-PING; YAO-WEN CHANG ; CHUNG-PING CHEN VLSI Design42
1512002Future SoC design challenges and solutionsChen, C.-C.P.; Cheng, E.; CHUNG-PING CHEN Proceedings - International Symposium on Quality Electronic Design, ISQED
1522002Accurate and efficient inductance extraction for SoC noise and signal integrityChang, L.-F.; Chang, K.-J.; CHUNG-PING CHEN IEEE Topical Meeting on Electrical Performance of Electronic Packaging
1532001Efficient Large-Scale Power Grid Analysis Based on Preconditioned Krylov-Subspace Iterative MethodsTsung-Hao Chen; CHUNG-PING CHEN IEEE/ACM Design Automation Conference (DAC)
1542001Thermal-ADI: A Linear-Time Chip-Level Dynamic Thermal Simulation Algorithm Based on Alternating-Direction-Implicit (ADI) MethodTing-Yuan Wang; CHUNG-PING CHEN ACM International Symposium on Physical Design (ISPD)
1552001FDTD-ADI: An Unconditionally Stable Full Wave Maxwell Equation Solver for VLSI ModelingCharlie Chung-Ping Chen; Narayanan Murugesan; Tae-Woo Lee; Susan C. Hagness; Yu-Min Lee; CHUNG-PING CHEN IEEE/ACM International Conference on Computer Aided Design (ICCAD) 
1562001Linear Time Hierarchical Capacitance Extraction Without Multipole ExpansionSaisanthosh Balakrishnan; Jong Hyuk Park; Hyungsuk Kim; Yu-Min Lee; CHUNG-PING CHEN International Conference on Computer Design (ICCD) 
1572001Hierarchical model order reduction for signal-integrity driven interconnect synthesisYu-min Lee; CHUNG-PING CHEN Great Lakes Symposium on VLSI (GLSVLSI)
1582001Optimal spacing and capacitance padding for general clock structuresYu-Min Lee; Hing Yin Lai; CHUNG-PING CHEN Asian and South Pacific Design Automation Conference (ASP-DAC)
1592001RC-in RC-out model order reduction accurate up to second order momentsGanesh, P.; CHUNG-PING CHEN Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors
1602000Generalized FDTD-ADI: An unconditionally stable full-wave Maxwell's equations solver for VLSI Interconnect ModelingChen, C.C.-P.; Lee, T.-W.; Murugesan, N.; Hagness, S.C.; CHUNG-PING CHEN IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD
1611999Fast and Exact Simultaneous Gate and Wire Sizing by Lagrangian RelaxationChung-Ping Chen; Chris C. N. Chu; D. F. Wong; CHUNG-PING CHEN IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD)
1621999Error-bounded Pade Approximation via Bilinear Conformal TransformationCHUNG-PING CHEN ; D.F. WongIEEE/ACM Design Automation Conference (DAC)110
1631999Noise-Aware Repeater Insertion and Wire-Sizing for On-chip Interconnect Using Hierarchical Moment-MatchingChung-Ping Chen; N. Menezes; CHUNG-PING CHEN IEEE/ACM Design Automation Conference (DAC)
1641999Spec-based Repeater Insertion and Wire-Sizing for On-chip InterconnectN. Menezes; CHUNG-PING CHEN Twelfth International Conference on VLSI Design
1651999Noise-aware repeater insertion and wire sizing for on-chip interconnect using hierarchical moment-matchingCHUNG-PING CHEN ; Menezes, NoelProceedings - Design Automation Conference