第 1 到 159 筆結果,共 159 筆。

公開日期標題作者來源出版物scopusWOS全文
12023Quantized Neural Network Synthesis for Direct Logic Circuit ImplementationHuang, Yu Shan; JIE-HONG JIANG ; Mishchenko, AlanIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems00
22022Advances in Quantum Computation and Quantum Technologies: A Design Automation PerspectiveDe Micheli, Giovanni; JIE-HONG JIANG ; Rand, Robert; Smith, Kaitlin; Soeken, MathiasIEEE Journal on Emerging and Selected Topics in Circuits and Systems42
32022Design and Automation for Quantum Computation and Quantum TechnologiesJIE-HONG JIANG ; De Micheli, Giovanni; Smith, Kaitlin; Soeken, MathiasIEEE Journal on Emerging and Selected Topics in Circuits and Systems00
42022Quantifier Elimination in Stochastic Boolean SatisfiabilityWang, Hao Ren; Tu, Kuan Hua; JIE-HONG JIANG ; Scholl, ChristophLeibniz International Proceedings in Informatics, LIPIcs10
52022Accurate BDD-based unitary operator manipulation for scalable and robust quantum circuit verificationWei, Chun Yu; Tsai, Yuan Hung; Jhang, Chiao Shan; JIE-HONG JIANG Proceedings - Design Automation Conference60
62022Encoding Probabilistic Graphical Models into Stochastic Boolean SatisfiabilityHsieh, Cheng Han; JIE-HONG JIANG IJCAI International Joint Conference on Artificial Intelligence1
72022Reconfigurable Biochemical Circuit Synthesis from Biomachine SpecificationWang, Chang Jun; JIE-HONG JIANG BioCAS 2022 - IEEE Biomedical Circuits and Systems Conference: Intelligent Biomedical Systems for a Better Future, Proceedings00
82022Partial Equivalence Checking of Quantum CircuitsChen, Tian Fu; JIE-HONG JIANG ; Hsieh, Min HsiuProceedings - 2022 IEEE International Conference on Quantum Computing and Engineering, QCE 202230
92021Compatible Equivalence Checking of X-Valued CircuitsWang Y.-N; Luo Y.-R; Chien P.-C; Wang P.-L; Wang H.-R; Lin W.-H; JIE-HONG JIANG ; CHUNG-YANG HUANG IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD00
102021Logic Synthesis Meets Machine Learning: Trading Exactness for GeneralizationRai S; JIE-HONG JIANG et al. Proceedings -Design, Automation and Test in Europe, DATE130
112021Bit-Slicing the Hilbert Space: Scaling up Accurate Quantum Circuit SimulationTsai Y.-H; Jiang J.-H.R; Jhang C.-S.; JIE-HONG JIANG Proceedings - Design Automation Conference80
122021Deep Integration of Circuit Simulator and SAT SolverZhang H.-T; Jiang J.-H.R; Amaru L; Mishchenko A; Brayton R.; JIE-HONG JIANG Proceedings - Design Automation Conference70
132021Dependency Stochastic Boolean Satisfiability: A Logical Formalism for NEXPTIME Decision Problems with UncertaintyLee N.-Z; JIE-HONG JIANG 35th AAAI Conference on Artificial Intelligence, AAAI 20215
142021Homing Sequence Derivation with Quantified Boolean SatisfiabilityTu K; Wang H; Jiang J.R; Kushik N; Yevtushenko N.; JIE-HONG JIANG IEEE Transactions on Computers10
152021A Sharp Leap from Quantified Boolean Formula to Stochastic Boolean Satisfiability SolvingChen P.-W; Huang Y.-C; JIE-HONG JIANG 35th AAAI Conference on Artificial Intelligence, AAAI 20218
162021Logic Synthesis of Binarized Neural Networks for Efficient Circuit ImplementationChi C; Jiang J.R.; JIE-HONG JIANG IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems31
172021Constraint Solving for Synthesis and Verification of Threshold Logic CircuitsLee N.-Z; Jiang J.-H.R.; JIE-HONG JIANG IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems53
182021A Circuit-Based SAT Solver for Logic SynthesisZhang H.-T; JIE-HONG JIANG ; Mishchenko A.IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD60
192021SAT-Based On-Track Bus RoutingZhang H.-T; Fujita M; Cheng C.-K; Jiang J.-H.R.; JIE-HONG JIANG IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10
202020Mining Biochemical Circuits from Enzyme Databases via Boolean ReasoningLin Y.-C; Jiang J.-H.R.; JIE-HONG JIANG IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD10
212020Symbolic Uniform Sampling with XOR CircuitsLin Y.-T; Jiang J.-H.R; Kravets V.N.; JIE-HONG JIANG IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD00
222020Time multiplexing via circuit foldingChien P.-C; Jiang J.-H.R.; JIE-HONG JIANG Proceedings - Design Automation Conference00
232020SFO: A scalable approach to fanout-bounded logic synthesis for emerging technologiesZhang H.-T; Jiang J.-H.R.; JIE-HONG JIANG Proceedings - Design Automation Conference10
242020Engineering Change Order for Combinational and Sequential Design RectificationJiang, J.-H.R.; Kravets, V.N.; Lee, N.-Z.; JIE-HONG JIANG Proceedings of the 2020 Design, Automation and Test in Europe Conference and Exhibition, DATE 202080
252020Learning to Automate the Design Updates from Observed Engineering Changes in the Chip Development CycleKravets, V.N.; Jiang, J.-H.R.; Riener, H.; JIE-HONG JIANG Proceedings of the 2020 Design, Automation and Test in Europe Conference and Exhibition, DATE 202030
262020Circuit learning for logic regression on high dimensional boolean spaceChen P.-W; Huang Y.-C; Lee C.-L; Jiang J.-H.R.; JIE-HONG JIANG Proceedings - Design Automation Conference40
272020Symbolic gas vulnerability detection and attack synthesisPeng M.H; Yu F; Jiang J.H.R.; JIE-HONG JIANG Proceedings of the 24th Pacific Asia Conference on Information Systems: Information Systems (IS) for the Future, PACIS 2020
282020Symbolic gas vulnerability detection and attack synthesisPeng M.H; Yu F; Jiang J.H.R.; JIE-HONG JIANG Proceedings of the 24th Pacific Asia Conference on Information Systems: Information Systems (IS) for the Future, PACIS 20200
292019An approximation algorithm to the optimal switch control of reconfigurable battery packsShih-Yu Chen; Jie-Hong R. Jiang; Shou-Hung Welkin Ling; Shih-Hao Liang; Mao-Cheng Huang; JIE-HONG JIANG ; 江介宏Asia and South Pacific Design Automation Conference (ASP-DAC)00
302019A PSPACE Subclass of Dependency Quantified Boolean Formulas and Its Effective SolvingChristoph Scholl; Jie-Hong R. Jiang; Ralf Wimmer; Aile Ge-Ernst; JIE-HONG JIANG AAAI Conference on Artificial Intelligence (AAAI)
312019Biochemical Threshold Function Implementation with Zero-Order UltrasensitivityHuang, W.-C.; Jiang, J.-H.R.; Fages, F.; Molina, F.; JIE-HONG JIANG BioCAS 2019 - Biomedical Circuits and Systems Conference, Proceedings10
322019A PSPACE Subclass of Dependency Quantified Boolean Formulas and Its Effective SolvingChristoph Scholl; Jie-Hong R. Jiang; Ralf Wimmer; Aile Ge-Ernst; JIE-HONG JIANG ; 江介宏AAAI Conference on Artificial Intelligence (AAAI)
332019Searching parallel separating hyperplanes for effective compression of threshold logic networksLee, S.-Y.; Lee, N.-Z.; Jiang, J.-H.R.; JIE-HONG JIANG IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD50
342019A PSPACE Subclass of Dependency Quantified Boolean Formulas and Its Effective Solving.Scholl, Christoph; Jiang, Jie-Hong Roland; Wimmer, Ralf; Ge-Ernst, Aile; JIE-HONG JIANG The Thirty-Third AAAI Conference on Artificial Intelligence, AAAI 2019, The Thirty-First Innovative Applications of Artificial Intelligence Conference, IAAI 2019, The Ninth AAAI Symposium on Educational Advances in Artificial Intelligence, EAAI 2019, Honolulu, Hawaii, USA, January 27 - February 1, 2019.00
352019Time-frame folding: Back to the sequentialityChien, P.-C.; Jiang, J.-H.R.; JIE-HONG JIANG IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD20
362019A Cube Distribution Approach to QBF Solving and Certificate Minimization.Chen, Li-Cheng; Jiang, Jie-Hong R.; JIE-HONG JIANG Principles and Practice of Constraint Programming - 25th International Conference, CP 2019, Stamford, CT, USA, September 30 - October 4, 2019, Proceedings10
372019Comprehensive search for ECO rectification using symbolic samplingKravets, V.N.; Lee, N.-Z.; Jiang, J.-H.R.; JIE-HONG JIANG Proceedings - Design Automation Conference60
382019Disjoint-support decomposition and extraction for interconnect-driven threshold logic synthesisChen, H.; Hung, S.-C.; Jiang, J.-H.R.; JIE-HONG JIANG Proceedings - Design Automation Conference40
392019Effective FPGA Resource Utilization for Quasi Delay Insensitive Implementation of Asynchronous Circuits.Chang, Yi-Fan Evan; Huang, Ruei-Yang; Jiang, Jie-Hong R.; JIE-HONG JIANG 25th IEEE International Symposium on Asynchronous Circuits and Systems, ASYNC 2019, Hirosaki, Japan, May 12-15, 201980
402019Synthesis of Nondeterministic Behavior in Recombinase-Based Genetic Circuits.Lin, Zi-Jun; Huang, Wei-Chih; Jiang, Jie-Hong Roland; JIE-HONG JIANG 2019 IEEE 49th International Symposium on Multiple-Valued Logic (ISMVL), Fredericton, NB, Canada, May 21-23, 201900
412018Towards Formal Evaluation and Verification of Probabilistic DesignNian-Ze Lee; Jie-Hong R. Jiang; JIE-HONG JIANG ; 江介宏IEEE Transactions on Computers97
422018Efficient Computation of ECO Patch FunctionsA. Q. Dao; N.-Z. Lee; L.-C. Chen; P.-H. Lin; J.-H. R. Jiang; A. Mishchenko; R. K. Brayton; JIE-HONG JIANG ; 江介宏Design Automation Conference (DAC)140
432018Cost-Aware Patch Generation for Multi-Target Function Rectification of Engineering Change OrdersH.-T. Zhang; J.-H. R. Jiang; JIE-HONG JIANG ; 江介宏Design Automation Conference (DAC)70
442018Static Detection of API Call Vulnerabilities in iOS ExecutablesC.-H. Lin; F. Yu; J.-H. R. Jiang; T. Bultan; JIE-HONG JIANG ; 江介宏International Conference on Software Engineering (ICSE)00
452018Logic Synthesis of Binarized Neural Networks for Efficient Circuit ImplementationC.-C. Chi; J.-H. R. Jiang; JIE-HONG JIANG ; 江介宏37th IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2018170
462018Efficient multi-layer obstacle-avoiding region-to-region rectilinear steiner tree constructionWang, R.-Y.; Pai, C.-C.; Wang, J.-J.; Wen, H.-T.; Pai, Y.-C.; Chang, Y.-W. ; Li, J.C.M.; Jiang, J.-H.R.; JIE-HONG JIANG ; CHIEN-MO LI Design Automation Conference50
472018Canonicalization of Threshold Logic Representation and its ApplicationsS.-Y. Lee; N.-Z. Lee; J.-H. R. Jiang; JIE-HONG JIANG ; 江介宏Int’l Conf. on Computer-Aided Design (ICCAD)90
482018A Symbolic Model Checking Approach to the Analysis of String and Length ConstraintsH.-E. Wang; S.-Y. Chen; F. Yu; J.-H. R. Jiang; JIE-HONG JIANG ; 江介宏International Conference on Automated Software Engineering (ASE)90
492018Solving Exist-Random Quantified Stochastic Boolean Satisfiability via Clause SelectionN.-Z. Lee; Y.-S. Wang; J.-H. R. Jiang; JIE-HONG JIANG ; 江介宏International Joint Conference on Artificial Intelligence (IJCAI)70
502018Recombinase-based genetic circuit optimizationLai, C.-N.; Jiang, J.-H.R.; Fages, F.; JIE-HONG JIANG 2017 IEEE Biomedical Circuits and Systems Conference, BioCAS 2017 - Proceedings10
512017Logic Synthesis of Recombinase Based Genetic CircuitsTai-Yin Chiu; Jie-Hong R. Jiang; JIE-HONG JIANG ; 江介宏Scientific Reports87
522017Path-Specific Functional Timing Verification under Floating and Transition Modes of OperationChun-Ning Lai; Jie-Hong R. Jiang; JIE-HONG JIANG ; 江介宏Design Automation Conference (DAC)20
532017Closing the Accuracy Gap of Static Performance Analysis of Asynchronous CircuitsCheng-Yu Shih; Chun-Hong Shih; Jie-Hong R. Jiang; JIE-HONG JIANG ; 江介宏Design Automation Conference (DAC)00
542017Criticality and Sensitivity Analysis for Incremental Performance Optimization of Asynchronous PipelinesChun-Hong Shih; Jie-Hong Roland Jiang; JIE-HONG JIANG ; 江介宏IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC)00
552017RecombinaseBased Genetic Circuit OptimizationChun-Ning Lai; Jie-Hong Jiang; Francois Fages; JIE-HONG JIANG ; 江介宏IEEE Biomedical Circuits and Systems Conference (BioCAS)
562017A Gridless Approach to the Satisfiability of Self-Aligned Triple PatterningHsiao-Lei Chien; Mei-Yen Chiu; Jie-Hong R. Jiang; JIE-HONG JIANG ; 江介宏IEEE Transaction on CAD of Integrated Circuits and Systems00
572017RecombinaseBased Genetic Circuit OptimizationChun-Ning Lai; Jie-Hong Jiang; Francois Fages; JIE-HONG JIANG IEEE Biomedical Circuits and Systems Conference (BioCAS)
582017Homing Sequence Derivation with Quantified Boolean SatisfiabilityHung-En Wang; Kuan-Hua Tu; Jie-Hong R. Jiang; Natalia Kushik; JIE-HONG JIANG ; 江介宏IFIP International Conference on Testing of Software and Systems (ICTSS)70
592017Solving Stochastic Boolean Satisfiability under Random-Exist QuantificationNian-Ze Lee; Yen-Shi Wang; Jie-Hong R. Jiang; JIE-HONG JIANG ; 江介宏International Joint Conference on Artificial Intelligence (IJCAI)110
602017Sequential Engineering Change Order under Retiming and ResynthesisNian-Ze Lee; Victor Kravets; Jie-Hong R. Jiang; JIE-HONG JIANG ; 江介宏International Conference on Computer-Aided Design (ICCAD)50
612016String Analysis via Automata Manipulation with Logic Circuit RepresentationHung-En Wang; Tzung-Lin Tsai; Chun-Han Lin; Fang Yu; Jie-Hong R. Jiang; JIE-HONG JIANG Int'l Conf. on Computer Aided Verification (CAV)260
6220162QBF: Challenges and SolutionsValeriy Balabanov; Jie-Hong Rol; Jiang, Christoph Scholl; Alan Mishchenko; Robert K. Brayton; JIE-HONG JIANG Int'l Conf. on Theory and Applications of Satisfiability Testing (SAT)70
632016Design Partitioning for Large Scale Equivalence Checking and Functional CorrectionGrace Wu; Yi-Tin Sun; Jie-Hong R. Jiang; JIE-HONG JIANG Design Automation Conference (DAC)10
642016Scalable Synthesis of PCHB-WCHB Hybrid Quasi-Delay Insensitive CircuitsYi-Hsiang Lai; Chi-Chuan Chuang; Jie-Hong R. Jiang; JIE-HONG JIANG ; 江介宏IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems43
652016Flexibility and Optimization of QBF Skolem-Herbrand CertificatesValeriy Balabanov; Shuo-Ren Lin; Jie-Hong R. Jiang; JIE-HONG JIANG ; 江介宏IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems00
662016Simultaneous EUV flare variation minimization and CMP control by coupling-aware dummificationChiang, H.-J.K.; Liu, C.-Y.; Jiang, J.-H.R.; Chang, Y.-W.; YAO-WEN CHANG ; JIE-HONG JIANG IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems54
672016Analytic approaches to the collapse operation and equivalence verification of threshold logic circuitsNian-Ze Lee; Hao-Yuan Kuo; Yi-Hsiang Lai; Jie-Hong R. Jiang; JIE-HONG JIANG ; 江介宏International Conference on Computer-Aided Design (ICCAD)50
682016Clauses Versus Gates in CEGAR-Based 2QBF Solving.Balabanov, Valeriy; Jiang, Jie-Hong Roland; Mishchenko, Alan; Scholl, Christoph; JIE-HONG JIANG Beyond NP, Papers from the 2016 AAAI Workshop, Phoenix, Arizona, USA, February 12, 2016.
692015SPOCK: Static performance analysis and deadlock verification for efficient asynchronous circuit synthesisChun-Hong Shih; Yi-Hsiang Lai; Jie-Hong R. Jiang; JIE-HONG JIANG International Conference on Computer- Aided Design (ICCAD)80
702015Asynchronous QDI Circuit Synthesis from Signal Transition ProtocolsBo-Yuan Huang; Yi-Hsiang Lai; Jie-Hong R. Jiang; JIE-HONG JIANG International Conference on Computer- Aided Design (ICCAD)00
712015A General Framework for Efficient Performance Analysis of Acyclic Asynchronous PipelinesYi-Hsiang Lai; Chi-Chuan Chuang; Jie-Hong R. Jiang; JIE-HONG JIANG International Conference on Computer- Aided Design (ICCAD)50
722015Property-directed synthesis of reactive systems from safety specificationsTing-Wei Chiang; Jie-Hong R. Jiang; JIE-HONG JIANG International Conference on Computer- Aided Design (ICCAD)30
732015Deriving Compositionally Deadlock-free Componenets over Synchronous Automata CompositionsNina Yevtushenko; Khaled El-Fakih; Tiziano Villa; Jie-Hong R. Jiang; JIE-HONG JIANG The Computer Journal10
742015QELL: QBF Reasoning with Extended Clause Learning and Levelized SAT SolvingKuan-Hua Tu; Tzu-Chen Hsu; Jie-Hong R. Jiang; JIE-HONG JIANG International Conference on Theory and Applications of Satisfiability Testing (SAT)150
752015Synthesizing Configurable Biochemical Implementation of Linear Systems from Their Transfer Function SpecificationsTai-Yin Chiu; Hui-Ju K. Chiang; Ruei-Yang Huang; Jie-Hong R. Jiang; Franç ois Fages; JIE-HONG JIANG PLOS ONE119
762015Reconfigurable neuromorphic computation in biochemical systemsHui-Ju Katherine Chiang; Jie-Hong R. Jiang; Francois Fages; JIE-HONG JIANG Int'l Conf. of the IEEE Engineering in Medicine and Biology Society (EMBC)80
772015Scalable Sequence-Constrained Retention Register Minimization in Power Gating DesignTing-Wei Chiang; Kai-Hui Chang; Yen-Ting Liu; Jie-Hong R. Jiang; JIE-HONG JIANG ACM/IEEE Design Automation Conference (DAC)60
782015Hybrid Simulations of Heterogeneous Biochemical Models in SBMLHui-Ju Katherine Chiang; Francois Fages; Jie-Hong Rol; Jiang; Sylvain Soliman; JIE-HONG JIANG ACM Transactions on Modeling and Computer Simulation31
792015Efficient Extraction of QBF (Counter)models from Long-Distance Resolution ProofsValeriy Balabanov; Jie-Hong R. Jiang; Mikolas Janota; Magdalena Widl; JIE-HONG JIANG AAAI Conference on Artificial Intelligence (AAAI-15)22
802014Towards Formal Evaluation and Verification of Probabilistic DesignNian-Ze Lee; Jie-Hong R. Jiang; JIE-HONG JIANG International Conference on Computer- Aided Design (ICCAD)40
812014Building Reconfigurable Circuitry in a Biochemical WorldHui-Ju Katherine Chiang; Jie-Hong Rol; Jiang; Franç ois Fages; JIE-HONG JIANG IEEE Biomedical Circuits and Systems Conference (BioCAS)60
822014QBF Resolution Systems and their Proof ComplexitiesValeriy Balabanov; Magdalena Widl; Jie-Hong R. Jiang; JIE-HONG JIANG International Conference on Theory and Applications of Satisfiability Testing (SAT)720
832014Configurable Linear Control of Biochemical SystemsJIE-HONG JIANG International Workshop on Bio-Design Automation (IWBDA) 
842014Synthesis of PCHB-WCHB Hybrid Quasi-Delay Insensitive CircuitsChi-Chuan Chuang; Yi-Hsiang Lai; Jie-Hong R. Jiang; JIE-HONG JIANG ACM/IEEE Design Automation Conference (DAC)100
852014Simultaneous EUV Flare Variation Minimization and CMP Control with Coupling-Aware DummificationChi-Yuan Liu; Hui-Ju K. Chiang; Yao-Wen Chang; Jie-Hong R. Jiang; YAO-WEN CHANG ; JIE-HONG JIANG ACM/IEEE Design Automation Conference (DAC)40
862014Henkin quantifiers and Boolean formulae: A certification perspective of DQBFValeriy Balabanov; Hui-Ju K. Chiang; Jie-Hong R. Jiang; JIE-HONG JIANG Theoretical Computer Science (TCS)3227
872014Synthesis of PCHB-WCHB Hybrid Quasi-Delay Insensitive Circuits.Chuang, Chi-Chuan; Lai, Yi-Hsiang; Jiang, Jie-Hong R.; JIE-HONG JIANG The 51st Annual Design Automation Conference 2014, DAC '14, San Francisco, CA, USA, June 1-5, 2014110
882013Software Workarounds for Hardware Errors: Instruction Patch SynthesisTsung-Po Liu; Shuo-Ren Lin; Jie-Hong R. Jiang; JIE-HONG JIANG IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)11
892013Automatic Test Pattern Generation for Delay Defects Using Timed Characteristic FunctionsShin-Yann Ho; Shuo-Ren Lin; Ko-Lung Yuan; Chien-Yen Kuo; Kuan-Yu Liao; Jie-Hong R. Jiang; Chien-Mo James Li; JIE-HONG JIANG IEEE/ACM International Conference on Computer-Aided Design (ICCAD) 
902013Encoding Multi-Valued Functions for SymmetryKo-Lung Yuan; Chien-Yen Kuo; Jie-Hong R. Jiang; Meng-Yen Li; JIE-HONG JIANG IEEE/ACM International Conference on Computer-Aided Design (ICCAD) 
912013Synthesizing Multiple Boolean Functions Using Interpolation on a Single ProofGeorg Hofferek; Ashutosh Gupta; Bettina Konighofer; Jie-Hong Rol; Jiang, Roderick Bloem; JIE-HONG JIANG International Conference on Formal Methods in Computer-Aided Design (FMCAD) 
922013On the Hybrid Composition and Simulation of Heterogeneous Biochemical ModelsHui-Ju Katherine Chiang; Francois Fages; Jie-Hong R. Jiang; Sylvain Soliman; JIE-HONG JIANG International Conference on Computational Methods in Systems Biology (CMSB)10
932013Functional Timing Analysis Made Fast and GeneralYi-Ting Chung; Jie-Hong R. Jiang; JIE-HONG JIANG IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)97
942013Species Minimization in Computation with Biochemical ReactionsRuei-Yang Huang; De-An Huang; Hui-Ju Katherine Chiang; Jie-Hong R. Jiang; Francois Fages; JIE-HONG JIANG International Workshop on Bio-Design Automation (IWBDA) 
952013Synthesis of feedback decoders for initialized encodersKuan-Hua Tu; Jie-Hong R. Jiang; JIE-HONG JIANG ACM/IEEE Design Automation Conference (DAC)10
962013Encoding multi-valued functions for symmetry.Yuan, Ko-Lung; Kuo, Chien-Yen; Jiang, Jie-Hong R.; Li, Meng-Yen; JIE-HONG JIANG The IEEE/ACM International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 201310
972013Automatic test pattern generation for delay defects using timed characteristic functions.Ho, Shin-Yann; Lin, Shuo-Ren; Yuan, Ko-Lung; Kuo, Chien-Yen; Liao, Kuan-Yu; Jiang, Jie-Hong R.; CHIEN-MO LI ; JIE-HONG JIANG The IEEE/ACM International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 201320
982012Compiling Program Control Flows into Biochemical ReactionsDe-An Huang; Jie-Hong R. Jiang; Ruei-Yang Huang; Chi-Yun Cheng; JIE-HONG JIANG Int'l Conf. on Computer-Aided Design (ICCAD'12)90
992012Automatic Decoder Synthesis: Methods and Case StudiesHsiou-Yuan Liu; Yen-Cheng Chou; Chen-Hsuan Lin; Jie-Hong R. Jiang; JIE-HONG JIANG IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)22
1002012Unified QBF Certification and its ApplicationsValeriy Balabanov; Jie-Hong R. Jiang; JIE-HONG JIANG Formal Methods in System Design (FMSD)11982
1012012When Boolean Satisfiability Meets Gaussian Elimination in a Simplex WayCheng-Shen Han; Jie-Hong R. Jiang; JIE-HONG JIANG International Conference on Computer Aided Verification (CAV'12)260
1022012Improving Design Verifiability by Early RTL Coverability AnalysisKai-Hui Chang; Chia-Wei Chang; Jie-Hong R. Jiang; Chien-Nan Jimmy Liu; JIE-HONG JIANG ACM/IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE'12)00
1032012Henkin Quantifiers and Boolean FormulaeValeriy Balabanov; Hui-Ju Katherine Chiang; Jie-Hong R. Jiang; JIE-HONG JIANG Int'l Conference on the Theory and Applications of Satisfiability Test (SAT'12)30
1042012Functional Timing Analysis Made Fast and GeneralYi-Ting Chung; Jie-Hong R. Jiang; JIE-HONG JIANG ACM/IEEE Design Automation Conference (DAC'12)47
1052012Clock Rescheduling for Timing Engineering Change OrdersKuan-Hsien Ho; Xin-Wei Shih; Jie-Hong R. Jiang; JIE-HONG JIANG Asia and South Pacific Design Automation Conference (ASP-DAC'12)40
1062012TRECO: Dynamic technology remapping for timing engineering change ordersHo, K.-H.; Jiang, J.-H.R.; Chang, Y.-W.; YAO-WEN CHANG ; JIE-HONG JIANG IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems88
1072012Reducing test point overhead with don't-caresChang, K.-H.; Chang, C.-W.; Jiang, J.-H.R.; Liu, C.-N.J.; JIE-HONG JIANG Midwest Symposium on Circuits and Systems20
1082011Scalable Don't-Care-Based Logic Optimization and ResynthesisAlan Mishchenko; Robert Brayton; Jie-Hong R. Jiang; Stephen Jang; JIE-HONG JIANG ACM Transactions on Reconfigurable Technology and Systems (TRETS)5047
1092011Towards Completely Automatic Decoder SynthesisHsiou-Yuan Liu; Yen-Cheng Chou; Chen-Hsuan Lin; Jie-Hong R. Jiang; JIE-HONG JIANG IEEE/ACM Int'l Conf. on Computer Aided Design (ICCAD'11)50
1102011Resolution Proofs and Skolem Functions in QBF Evaluation and ApplicationsValeriy Balabanov; Jie-Hong R. Jiang; JIE-HONG JIANG Int'l Conf. on Computer Aided Verification (CAV'11)250
1112011Bi-decomposition Using SAT and InterpolationRuei-Rung Lee; Jie-Hong Rol Jiang; Wei-Lun Hung; JIE-HONG JIANG 
1122011Ashenhurst Decomposition Using SAT and InterpolationHsuan-Po Lin; Jie-Hong Rol Jiang; Ruei-Rung Lee; JIE-HONG JIANG ; Hsuan-Po Lin;Jie-Hong Rol Jiang;Ruei-Rung Lee
1132011Extracting Functions from Boolean Relations Using SAT and InterpolationJie-Hong Rol; Jiang, Hsuan-Po Lin; Wei-Lun Hung; JIE-HONG JIANG 
1142011Constraint generation for software-based post-silicon bug masking with scalable resynthesis technique for constraint optimizationChang, C.-W.; Chou, H.-Z.; Chang, K.-H.; Jiang, J.-H.R.; Liu, C.-N.J.; Hsiao, C.-H.; JIE-HONG JIANG ; SY-YEN KUO Proceedings of the 12th International Symposium on Quality Electronic Design, ISQED 201130
1152011Ashenhurst decomposition using SAT and interpolationLin, H.-P.; Jiang, J.-H.R.; Lee, R.-R.; JIE-HONG JIANG Advanced Techniques in Logic Synthesis, Optimizations and Applications00
1162011Bi-decomposition using SAT and interpolationLee, R.-R.; Jiang, J.-H.R.; Hung, W.-L.; JIE-HONG JIANG Advanced Techniques in Logic Synthesis, Optimizations and Applications01
1172011Extracting functions from boolean relations using SAT and interpolationJiang, J.-H.R.; Lin, H.-P.; Hung, W.-L.; JIE-HONG JIANG Advanced Techniques in Logic Synthesis, Optimizations and Applications00
1182010Boolean Matching of Function Vectors with Strengthened LearningChih-Fan Lai; Jie-Hong R. Jiang; Kuo-Hua Wang; JIE-HONG JIANG Int'l Conf. on Computer-Aided Design (ICCAD'10)90
1192010A Robust Functional ECO Engine by SAT Proof Minimization and Interpolation TechniquesBo-Han Wu; Chun-Ju Yang; Chung-Yang (Ric) Huang; Jie-Hong (Rol; ) Jiang; CHUNG-YANG HUANG ; JIE-HONG JIANG IEEE/ACM International Conference on Computer-Aided Design (ICCAD)360
1202010BooM: A Decision Procedure for Boolean Matching with Abstraction and Dynamic LearningChih-Fan Lai; Jie-Hong R. Jiang; Kuo-Hua Wang; JIE-HONG JIANG ACM/IEEE Design Automation Conference (DAC'10)130
1212010Hardware Equivalence and Property VerificationJie-Hong R. Jiang; Tiziano Villa; JIE-HONG JIANG 
1222010To SAT or Not to SAT: Scalable Exploration of Functional DependencyJie-Hong R. Jiang; Chih-Chun Lee; Alan Mishchenko; Chung-Yang (Ric) Huang; CHUNG-YANG HUANG ; JIE-HONG JIANG IEEE Transactions on Computers (TCOMP)2318
1232010TRECO: Dynamic Technology Remapping for Timing Engineering Change OrdersKuan-Hsien Ho; Jie-Hong R. Jiang; Yao-Wen Chang; YAO-WEN CHANG ; JIE-HONG JIANG Asia and South Pacific Design Automation Conference (ASP-DAC'10)149
1242010Hardware Equivalence and Property Verification.Jiang, Jie-Hong Roland; Villa, Tiziano; Crama, Yves; Hammer, Peter L.; JIE-HONG JIANG Boolean Models and Methods in Mathematics, Computer Science, and Engineering
1252009Interpolating Functions from Large Boolean RelationsJie-Hong R. Jiang; Hsuan-Po Lin; Wei-Lun Hung; JIE-HONG JIANG Int'l Conf. on Computer-Aided Design (ICCAD'09)380
1262009Symmetrization in Digital Circuit OptimizationNatalia Eliseeva; Jie-Hong R. Jiang; Natalia Kushik; Nina Yevtushenko; JIE-HONG JIANG IEEE East-West Design & Test Symposium (EWDTS'09) 
1272009Quantifier Elimination via Functional CompositionJie-Hong R. Jiang; JIE-HONG JIANG Int'l Conf. on Computer Aided Verification (CAV'09)240
1282009Scalable Don't Care Based Logic Optimization and ResynthesisAlan Mishchenko; Robert K. Brayton; Jie-Hong R. Jiang; Stephen Jang; JIE-HONG JIANG ACM International Symposium on Field-Programmable Gate Arrays (FPGA'09)230
1292009Logic Synthesis in a NutshellJie-Hong R. Jiang; Srinivas Devadas; JIE-HONG JIANG 
1302009Logic Synthesis in a NutshellJiang, J.H.; Devadas, S.; JIE-HONG JIANG Electronic Design Automation130
1312008To SAT or Not to SAT: Ashenhurst Decomposition in a Large ScaleHsuan-Po Lin; Jie-Hong R. Jiang; Ruei-Rung Lee; JIE-HONG JIANG IEEE/ACM Int'l Conf. on Computer-Aided Design (ICCAD'08)220
1322008A Dynamic Accuracy-Refinement Approach to Timing-Driven Technology MappingSz-Cheng Huang; Jie-Hong R. Jiang; JIE-HONG JIANG IEEE Int'l Conf. on Computer Design (ICCD'08)00
1332008奈米IC設計之前瞻電子設計自動化技術-子計畫三:於奈米積體電路製程變異下考量實體設計之穩健邏輯合成研究 (新制多年期第1年)江介宏 
1342008自動機與有限狀態機方程解於數位電路最佳化之應用 (新制多年期第2年)江介宏 
1352008系統驗證之可重用性研究(I)江介宏 
1362008Bi-Decomposing Large Boolean Functions via Interpolation and Satisfiability SolvingRuei-Rung Lee; Jie-Hong R. Jiang; Wei-Lun Hung; JIE-HONG JIANG ACM/IEEE Design Automation Conference (DAC'08)350
1372008Bi-decomposing large Boolean functions via interpolation and satisfiability solving.Lee, Ruei-Rung; Jiang, Jie-Hong Roland; Hung, Wei-Lun; JIE-HONG JIANG Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 200800
1382007Inductive Equivalence Checking under Retiming and ResynthesisJie-Hong R. Jiang; Wei-Lun Hung; JIE-HONG JIANG IEEE/ACM Int'l Conf. on Computer-Aided Design (ICCAD'07)110
1392007Scalable Exploration of Functional Dependency by Interpolation and Incremental SAT SolvingChih-Chun Lee; Jie-Hong R. Jiang; Chung-Yang Huang; Alan Mishchenko; CHUNG-YANG HUANG ; JIE-HONG JIANG IEEE/ACM Int'l Conf. on Computer-Aided Design (ICCAD'07)500
1402007Quantum Mechanical Search and Harmonic PerturbationJie-Hong R. Jiang; Dah-Wei Chiou; Cheng-En Wu; JIE-HONG JIANG Quantum Information Processing11
1412007A Statistical Approach to the Timing-Yield Optimization of Pipeline CircuitsChin-Hsiung Hsu; Szu-Jui Chou; Jie-Hong R. Jiang; Yao-Wen Chang; JIE-HONG JIANG Int'l Workshop on Power And Timing Modeling, Optimization and Simulation (PATMOS'07)00
1422007自動機與有限狀態機方程解於數位電路最佳化之應用 (新制多年期第1年)江介宏 
1432007Quantum Mechanical Search and Harmonic PerturbationJie-Hong R. Jiang; Dah-Wei Chiou; Cheng-En Wu; JIE-HONG JIANG 11
1442006Retiming and Resynthesis: A Complexity PerspectiveJie-Hong R. Jiang; Robert K. Brayton; JIE-HONG JIANG IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems2413
1452005Applied Logic & Computation for System Design- An introductory invitationJiang, Jie-Hong R.; 江介宏 
1462005On Some Transformation Invariants under Retiming and ResynthesisJie-Hong R. Jiang; JIE-HONG JIANG Int'l Conf. on Tools and Algorithms for the Construction and Analysis of Systems (TACAS'05)10
1472005Efficient Solution of Language Equations Using Partitioned RepresentationsAlan Mishchenko; Robert K. Brayton; Jie-Hong R. Jiang; Tiziano Villa; Nina Yevtushenko; JIE-HONG JIANG Design Automation and Test in Europe (DATE'05)70
1482004On Breakable Cyclic DefinitionsJie-Hong R. Jiang; Alan Mishchenko; Robert K. Brayton; JIE-HONG JIANG IEEE/ACM Int'l Conf. on Computer-Aided Design (ICCAD'04)70
1492004Functional Dependency for Verification ReductionJie-Hong R. Jiang; Robert K. Brayton; JIE-HONG JIANG Int'l Conf. on Computer Aided Verification (CAV'04)18
1502004Functional dependency for verification reductionJiang, J.-H.R.; Brayton, R.K.; JIE-HONG JIANG Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)18
1512004Functional Dependency for Verification Reduction.Jiang, Jie-Hong Roland; Brayton, Robert K.; JIE-HONG JIANG Computer Aided Verification, 16th International Conference, CAV 2004, Boston, MA, USA, July 13-17, 2004, Proceedings180
1522003On the Verification of Sequential EquivalenceJIE-HONG JIANG ; Robert K. BraytonIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems2322
1532003Reducing multi-valued algebraic operations to binaryJiang, J.-H.R.; Mischenko, A.; Brayton, R.K.; JIE-HONG JIANG Proceedings -Design, Automation and Test in Europe, DATE30
1542003Reducing Multi-Valued Algebraic Operations to Binary.Jiang, Jie-Hong Roland; Mishchenko, Alan; Brayton, Robert K.; JIE-HONG JIANG 2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany00
1552002Optimization of multi-valued multi-level networksGao, M.; Jiang, J.-H.; Jiang, Y.; Li, Y.; Mishchenko, A.; Sinha, S.; Villa, T.; Brayton, R.; JIE-HONG JIANG Proceedings of The International Symposium on Multiple-Valued Logic320
1562001Unified functional decomposition via encoding for FPGA technology mappingJiang, J.-H.; Jou, J.-Y.; Huang, J.-D.; JIE-HONG JIANG IEEE Transactions on Very Large Scale Integration (VLSI) Systems43
1571999Optimum loading dispersion for high-speed tree-type decision circuitry.Jiang, Jie-Hong Roland; JIE-HONG JIANG ; HUI-RU JIANG Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999, San Jose, California, USA, November 7-11, 199900
1581998Compatible Class Encoding in Hyper-Function Decomposition for FPGA Synthesis.Jiang, Jie-Hong Roland; Jou, Jing-Yang; Huang, Juinn-Dar; JIE-HONG JIANG Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998.00
1591997BDD based lambda set selection in Roth-Karp decomposition for LUT architecture.Jiang, Jie-Hong R.; Jou, Jing-Yang; Huang, Juinn-Dar; Wei, Jung-Shian; JIE-HONG JIANG Proceedings of the ASP-DAC '97 Asia and South Pacific Design Automation Conference, Nippon Convention Center, Chiba, Japan, January 28-31, 199700