第 1 到 47 筆結果,共 47 筆。
公開日期 | 標題 | 作者 | 來源出版物 | scopus | WOS | 全文 | |
---|---|---|---|---|---|---|---|
1 | 2024 | Optimizing ultra-wideband balanced power amplifiers through the selection of different output impedance transformation based on SiP techniques | Hong, Chung Hung; Cheng, Sheng Jen; Shen, Pi Neng; CHUNG-PING CHEN | AIP Advances | |||
2 | 2023 | Injection-locked Frequency Sixtuplers in 90nm CMOS by Using the Push-Push Doubler | Cheng, Sheng Jen; Shen, Pi Neng; Hong, Chung Hung; Chen, Zheng Wei; CHUNG-PING CHEN ; Jang, Sheng Lyang | IEEE Access | 0 | 0 | |
3 | 2020 | A Quasi-V2 Hysteretic Buck Converter with Adaptive COT Control for Fast DVS and Load-Transient Response in RF Applications | Ting C.-Y; Lin J.-Y; CHUNG-PING CHEN | IEEE Transactions on Circuits and Systems II: Express Briefs | 15 | 17 | |
4 | 2019 | A transient enhancement DC-DC buck converter with dual operating modes control technique | Hsu, Y.-C.; Ting, C.-Y.; Hsu, L.-S.; Lin, J.-Y.; CHUNG-PING CHEN | IEEE Transactions on Circuits and Systems II: Express Briefs | 26 | 22 | |
5 | 2019 | Frequency hopping and parallel driving with random delay especially suitable for the charger noise problem in mutual-capacitive touch applications | Huang, S.-L.; Hung, S.-Y.; CHUNG-PING CHEN | IEEE Access | |||
6 | 2018 | A single-stage asymmetrical half-bridge flyback converter with resonant operation | Ting, C.-Y.; Chieh Hsu, Y.-.; Lin, J.-Y.; CHUNG-PING CHEN | Energies | 2 | 2 | |
7 | 2018 | Area-saving and high-efficiency rgb led driver with adaptive driving voltage and energy-saving technique | Hsu, Y.-C.; Lin, J.-Y.; CHUNG-PING CHEN | Energies | 3 | 3 | |
8 | 2018 | Cost-effective and channel-scalable hardware decoders for multiple electron-beam direct-write systems | Yu, Chun-Chang; Lin, Pei-Chun; Lu, Yi-Chang; PEI-CHUN LIN ; CHUNG-PING CHEN | Journal of Micro/Nanolithography, MEMS, and MOEMS | 0 | 0 | |
9 | 2017 | Synchronous Double-Pumping Technique for Integrated Current-Mode PWM DC-DC Converters Demand on Fast-Transient Response | Wu, K.-I.; Hwang, B.-T.; CHUNG-PING CHEN | IEEE Transactions on Power Electronics | |||
10 | 2017 | Is categorization of random data necessary for parallel analysis on Likert-type data? | Weng, Li-Jen; CHUNG-PING CHEN ; LI-JEN WENG | Communications in Statistics - Simulation and Computation | 5 | 5 | |
11 | 2016 | A 6.7 MHz to 1.24 GHz 0.0318 mm 2 Fast-Locking All-Digital DLL Using Phase-Tracing Delay Unit in 90 nm CMOS | Hsieh, M.-H.; Chen, L.-H.; Liu, S.-I.; SHEN-IUAN LIU ; CHUNG-PING CHEN | IEEE Journal of Solid-State Circuits | 19 | 19 | |
12 | 2016 | Effects of pudendal neuromodulation on bladder function in chronic spinal cord-injured rats | Lin Y.-T.; Hsieh T.-H.; Chen S.-C.; Lai C.-H.; Kuo T.-S.; Chen C.-P.; Lin C.-W. ; Young S.-T.; CHUNG-PING CHEN | Journal of the Formosan Medical Association | 4 | 4 | |
13 | 2015 | Musical Tension over Time: Listeners’ Physiological Responses to the ‘Retransition’ in Classical Sonata Form | CHEN-GIA TSAI ; CHUNG-PING CHEN | Journal of New Music Research | 6 | 7 | |
14 | 2015 | Efficient three-dimensional resist profile-driven source mask optimization optical proximity correction based on Abbe-principal component analysis and Sylvester equation | Lin, P.-C.; Yu, C.-C.; PEI-CHUN LIN ; CHUNG-PING CHEN | Journal of Micro/ Nanolithography, MEMS, and MOEMS | 1 | 1 | |
15 | 2013 | A high-accuracy multidomain legendre pseudospectral frequency-domain method with penalty scheme for solving scattering and coupling problems of nano-cylinders | Wang, C.-Y.; Chung, S.-Y.; Teng, C.-H.; Wang, J.-K. ; Chen, C.-P.; HUNG-CHUN CHANG ; CHUNG-PING CHEN | Journal of Lightwave Technology | 1 | 1 | |
16 | 2013 | A significant multi-touch algorithm for the tracking problem based on the Hungarian algorithm | Huang, S.-L.; CHUNG-PING CHEN | Digest of Technical Papers - SID International Symposium | |||
17 | 2013 | High-accuracy waveguide leaky-mode analysis using a multidomain pseudospectral frequency-domain method incorporated with stretched coordinate PML | Wang, C.-Y.; Liu, H.-H.; Chung, S.-Y.; Teng, C.-H.; Chen, C.-P.; HUNG-CHUN CHANG ; CHUNG-PING CHEN | Journal of Lightwave Technology | 8 | 8 | |
18 | 2012 | Efficient thermal simulation for 3-D IC with thermal through-silicon vias | Oh, D.; Chen, C.C.P.; Hu, Y.H.; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | |||
19 | 2012 | Simulations of dielectric and plasmonic waveguide-coupled ring resonators using the Legendre pseudospectral time-domain method | Chung, S.-Y.; Wang, C.-Y.; Teng, C.-H.; Chen, C.-P.; HUNG-CHUN CHANG ; CHUNG-PING CHEN | Journal of Lightwave Technology | 9 | 8 | |
20 | 2012 | An at-speed test technique for high-speed high-order adder by a 6.4-GHz 64-bit domino adder example | Wang, Y.-S.; Hsieh, M.-H.; Li, J.C.-M.; CHIEN-MO LI ; CHUNG-PING CHEN | IEEE Transactions on Circuits and Systems I: Regular Papers | 3 | 3 | |
21 | 2011 | Characterization of OsSUT2 expression and regulation in germinating embryos of rice seeds | Siao, W.; Chen, J.-Y.; Hsiao, H.-H.; Chung, Ping ; SHU-JEN WANG | Rice | |||
22 | 2010 | LTCC spiral inductor synthesis and optimization with measurement verification | Lu, H.-C.; Chan, T.B.; Chen, C.C.-P.; Liu, C.-M.; Hsing, H.-J.; Lu, Hsin-Chia ; CHUNG-PING CHEN | IEEE Transactions on Advanced Packaging | 19 | 17 | |
23 | 2010 | Accurate and analytical statistical spatial correlation modeling based on singular value decomposition for VLSI DFM applications | Liu, J.-H.; Tsai, M.-F.; Chen, L.; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | |||
24 | 2009 | Efficient and accurate optical scatterometry diagnosis of grating variation based on segmented moment matching and singular value decomposition method | Liu, J.-H.; Liu, C.-W.; Huang, K.-J.; Li, T.-Y.; Chiu, M.-C.; Hong, J.-J.; Chen, C.C.-P.; Jao, C.-S.; LON A. WANG ; CHUNG-PING CHEN | Microelectronic Engineering | 2 | 2 | |
25 | 2008 | Gender Differences in Relationships of Actual and Virtual Social Support to Internet Addiction Mediated through Depressive Symptoms among College Students in Taiwan. | Yeh, Yu-Chun; Ko, Huei-Chen; Wu, Jo Yung-Wei; CHUNG-PING CHEN | Cyberpsy., Behavior, and Soc. Networking | |||
26 | 2008 | Abbe singular-value decomposition: Compact Abbe's kernel generation for microlithography aerial image simulation using singular-value decomposition method | Chen, C.C.P.; Gurhanli, A.; Chiang, T.-Y.; Hong, J.-J.; Melvin, L.S.; CHUNG-PING CHEN | Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures | |||
27 | 2007 | Numerically convex forms and their application in gate sizing | Roy, S.; Chen, W.; Chen, C.C.-P.; Hu, Y.H.; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | |||
28 | 2006 | Temperature-aware placement for SOCs | Tsai, J.-L.; Chen, C.C.-P.; Chen, G.; Goplen, B.; Qian, H.; Zhan, Y.; Kang, S.-M.; Wong, M.D.F.; Sapatnekar, S.S.; CHUNG-PING CHEN | Proceedings of the IEEE | |||
29 | 2006 | ICCAP-A linear time sparsification and reordering algorithm for 3-D BEM capacitance extraction | Jiang, R.; Chang, Y.-H.; CHUNG-PING CHEN | IEEE Transactions on Microwave Theory and Techniques | |||
30 | 2006 | Correlation-preserved statistical timing with a quadratic form of Gaussian variables | Zhang, L.; Chen, W.; Hu, Y.; Gubner, J.A.; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | |||
31 | 2005 | Statistical Static Timing Analysis with Conditional Linear MAX/MIN Approximation and Extended Canonical Model | Lizheng Zhang; Weijen Chen; Yuhen Hu; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD) | |||
32 | 2005 | EPEEC: A Compact Eddy-Current-Aware Reluctance-Based Macromodel for High-Speed Interconnects above Lossy Multilayer Substrate | Rong Jiang; Wenyin Fu; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD) | |||
33 | 2005 | Yield-driven, false-path-aware clock skew scheduling | Tsai, J.-L.; Baik, D.H.; Chen, C.C.-P.; Saluja, K.K.; CHUNG-PING CHEN | IEEE Design and Test of Computers | |||
34 | 2005 | EPEEC: Comprehensive SPICE-compatible reluctance extraction for high-speed interconnects above lossy multilayer substrates | Jiang, R.; Fu, W.; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | |||
35 | 2004 | Zero-Skew Clock-Tree Optimization with Buffer-Insertion/Sizing and Wire-Sizing | Jeng-Laing Tsai; Tsung-Hao Chen; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD) | |||
36 | 2004 | HiPRIME: Hierarchical and Passivity Preserved Interconnect Macromodeling Engine for RLKC Power Delivery | Yu-Min Lee; Yahong Cao; Tsung-Hao Chen; Janet Wang; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD) | 40 | ||
37 | 2003 | Thermal-ADI: A Linear-Time Chip-Level Dynamic Thermal Simulation Algorithm Based on Alternating-Direction-Implicit (ADI) Method | Ting-Yuan Wang; CHUNG-PING CHEN | IEEE Transaction on Very Large Scale Integration Systems (TVLSI) | 39 | ||
38 | 2003 | INDUCTWISE: Inductance-Wise Interconnect Simulator and Extractor | Tsung-Hao Chen; Clement Luk; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) | |||
39 | 2003 | The power grid transient simulation in linear time based on 3-D alternating-direction-implicit method | Lee, Y.-M.; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | |||
40 | 2002 | Optimal Wire-sizing Function under the Elmore Delay Model with Bounded Wiresizes | Yu-Min Lee; Charlie Chung-Ping Chen; D. F. Wong; CHUNG-PING CHEN | IEEE Transactions on Circuits & Systems-I (TCAS-I) | |||
41 | 2002 | Power Grid Transient Simulation in Linear Time based on Transmission-Line-Modeling Alternating-Direction-Implicit Method | Yu-Min Lee; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD) | 12 | ||
42 | 2002 | 3-D Thermal-ADI: a linear-time chip level transient thermal simulator | Ting-Yuan Wang; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD) | |||
43 | 2002 | Simultaneous Buffer-sizing and Wire-sizing for Clock Trees Based on Lagrangian Relaxation | LEE, YU-MIN; CHEN, CHARLIE CHUNG-PING; YAO-WEN CHANG ; CHUNG-PING CHEN | VLSI Design | 4 | 2 | |
44 | 2001 | RC-in RC-out model order reduction accurate up to second order moments | Ganesh, P.; CHUNG-PING CHEN | Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors | |||
45 | 1999 | Fast and Exact Simultaneous Gate and Wire Sizing by Lagrangian Relaxation | Chung-Ping Chen; Chris C. N. Chu; D. F. Wong; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD) | |||
46 | 1999 | Error-bounded Pade Approximation via Bilinear Conformal Transformation | CHUNG-PING CHEN ; D.F. Wong | IEEE/ACM Design Automation Conference (DAC) | 11 | 0 | |
47 | 1999 | Noise-aware repeater insertion and wire sizing for on-chip interconnect using hierarchical moment-matching | CHUNG-PING CHEN ; Menezes, Noel | Proceedings - Design Automation Conference |