Results 1-485 of 485 (Search time: 0.009 seconds).
Issue Date | Title | Author(s) | Source | scopus | WOS | Fulltext/Archive link | |
---|---|---|---|---|---|---|---|
1 | 2023 | Keep in Balance: Runtime-reconfigurable Intermittent Deep Inference | Yen, Chih Hsuan; Mendis, Hashan Roshantha; TEI-WEI KUO ; Hsiu, Pi Cheng | ACM Transactions on Embedded Computing Systems | 0 | 0 | |
2 | 2023 | Energy Efficiency Enhancement of SCM-Based Systems: Write-Friendly Coding | Chen, Yi Shen; Wu, Chun Feng; Chang, Yuan Hao; TEI-WEI KUO | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 1 | 1 | |
3 | 2023 | TCAM-GNN: A TCAM-based Data Processing Strategy for GNN over Sparse Graphs | Wang, Yu Pang; Wang, Wei Chen; Chang, Yuan Hao; Tsai, Chieh Lin; TEI-WEI KUO ; Wu, Chun Feng; Ho, Chien Chung; Hu, Han Wen | IEEE Transactions on Emerging Topics in Computing | |||
4 | 2023 | FSD: File-related Secure Deletion to Prolong the Lifetime of Solid-State Drives | Chou, Shih Chun; Chen, Yi Shen; Chen, Ping Xiang; Chang, Yuan Hao; Yang, Ming Chang; TEI-WEI KUO ; Chen, Yu Fang; YU-MING CHANG | Proceedings - 2023 12th IEEE Non-Volatile Memory Systems and Applications Symposium, NVMSA 2023 | 0 | ||
5 | 2023 | Retention Leveling: Leverage Retention Refreshing and Wear Leveling Techniques to Enhance Flash Reliability with the Awareness of Temperature | Wang, Wei Chen; Ho, Chien Chung; Chang, Yuan Hao; TEI-WEI KUO ; YU-MING CHANG | Proceedings - 2023 12th IEEE Non-Volatile Memory Systems and Applications Symposium, NVMSA 2023 | 0 | ||
6 | 2023 | Alleviating Deduplication-oriented Fragmentation of SSDs by Considering File Hotness and Popularity | Chang, Lee; Ho, Chien Chung; TEI-WEI KUO | Proceedings - International SoC Design Conference 2023, ISOCC 2023 | |||
7 | 2023 | HAPIC: A Scalable, Lightweight and Reactive Cache for Persistent-Memory-Based Index | Lo, Chih Ting; Chen, Yun Chih; Chang, Yuan Hao; TEI-WEI KUO | IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD | |||
8 | 2023 | Retention-Aware Read Acceleration Strategy for LDPC-based NAND Flash Memory | Wang, Tse Yuan; Tsao, Che Wei; Chang, Yuan Hao; TEI-WEI KUO | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 0 | 0 | |
9 | 2023 | REFROM: Responsive, Energy-Efficient Frame Rendering for Mobile Devices | Hsu, Tsung Yen; Chen, Yi Shen; Chen, Yun Chih; Chang, Yuan Hao; TEI-WEI KUO | Proceedings of the International Symposium on Low Power Electronics and Design | 0 | 0 | |
10 | 2023 | Pipette: Efficient Fine-Grained Reads for SSDs | Bai, Shuhan; Wan, Hu; Huang, Yun; Sun, Xuan; Wu, Fei; Xie, Changsheng; Hsieh, Hung Chih; TEI-WEI KUO ; Xue, Chun Jason | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 0 | 0 | |
11 | 2023 | APP: Enabling Soft Real-Time Execution on Densely-Populated Hybrid Memory System | Wu, Zheng Wei; Chen, Yun Chih; Chang, Yuan Hao; TEI-WEI KUO | Proceedings - Design Automation Conference | 0 | 0 | |
12 | 2023 | A digital 3D TCAM accelerator for the inference phase of Random Forest | Tsai, Chieh Lin; Wu, Chun Feng; Chang, Yuan Hao; Hu, Han Wen; Lee, Yung Chun; Li, Hsiang Pang; TEI-WEI KUO | Proceedings - Design Automation Conference | 0 | 0 | |
13 | 2023 | SERICO: Scheduling Real-Time I/O Requests in Computational Storage Drives | Huang, Yun; Guan, Nan; Bai, Shuhan; TEI-WEI KUO ; Xue, Chun Jason | Proceedings -Design, Automation and Test in Europe, DATE | 0 | 0 | |
14 | 2023 | Property-Based Timing Analysis and Optimization for Complex Cyber-Physical Real-Time Systems | Chen, Jian Jia; Ueter, Niklas; Günzel, Mario; Von Der Brüggen, Georg; TEI-WEI KUO | Proceedings - Design Automation Conference | 0 | 0 | |
15 | 2022 | Exploring Synchronous Page Fault Handling | Chen, Yin Chiuan; Wu, Chun Feng; Chang, Yuan Hao; TEI-WEI KUO | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 0 | 0 | |
16 | 2022 | Bits-Ensemble: Toward Light-Weight Robust Deep Ensemble by Bits-Sharing | Cui, Yufei; Wu, Shangyu; Li, Qiao; Chan, Antoni B.; TEI-WEI KUO ; Xue, Chun Jason | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 0 | 0 | |
17 | 2022 | Resolving the Reliability Issues of Open Blocks for 3-D NAND Flash: Observations and Strategies | Li, Qiao; Ye, Min; Cui, Yufei; Ren, Tianyu; TEI-WEI KUO ; Xue, Chun Jason | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 1 | 1 | |
18 | 2022 | Intermittent-Aware Distributed Concurrency Control | Tsai, Wei Che; Chen, Wei Ming; TEI-WEI KUO ; Hsiu, Pi Cheng | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 1 | 1 | |
19 | 2022 | Stateful Neural Networks for Intermittent Systems | Yen, Chih Hsuan; Mendis, Hashan Roshantha; TEI-WEI KUO ; Hsiu, Pi Cheng | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 3 | 2 | |
20 | 2022 | Accelerating General-purpose Lossless Compression via Simple and Scalable Parameterization | Mao, Yu; Cui, Yufei; TEI-WEI KUO ; Xue, Chun Jason | MM 2022 - Proceedings of the 30th ACM International Conference on Multimedia | 1 | 0 | |
21 | 2022 | Rethinking the Interactivity of OS and Device Layers in Memory Management | Wang, Tse Yuan; Wu, Chun Feng; Tsao, Che Wei; Chang, Yuan Hao; TEI-WEI KUO ; Liu, Xue | ACM Transactions on Embedded Computing Systems | 0 | 0 | |
22 | 2022 | Drift-tolerant Coding to Enhance the Energy Efficiency of Multi-Level-Cell Phase-Change Memory | Chen, Yi Shen; Chang, Yuan Hao; TEI-WEI KUO | Proceedings of the International Symposium on Low Power Electronics and Design | 5 | 0 | |
23 | 2022 | Pipette: Efficient Fine-Grained Reads for SSDs | Bai, Shuhan; Wan, Hu; Huang, Yun; Sun, Xuan; Wu, Fei; Xie, Changsheng; Hsieh, Hung Chih; TEI-WEI KUO ; Xue, Chun Jason | Proceedings - Design Automation Conference | 0 | 0 | |
24 | 2022 | pLPAQ: Accelerating LPAQ Compression on FPGA | Tang, Dongdong; Sun, Xuan; Guan, Nan; TEI-WEI KUO ; Xue, Chun Jason | FPT 2022 - 21st International Conference on Field-Programmable Technology, Proceedings | 0 | 0 | |
25 | 2022 | NFL: Robust Learned Index via Distribution Transformation | Wu, Shangyu; Cui, Yufei; Yu, Jinghuan; Sun, Xuan; TEI-WEI KUO ; Xue, Chun Jason | Proceedings of the VLDB Endowment | 4 | 3 | |
26 | 2022 | ICE: An Intelligent Cognition Engine with 3D NAND-based In-Memory Computing for Vector Similarity Search Acceleration | Hu, Han Wen; Wang, Wei Chen; Chang, Yuan Hao; Lee, Yung Chun; Lin, Bo Rong; Wang, Huai Mu; Lin, Yen Po; Huang, Yu Ming; Lee, Chong Ying; Su, Tzu Hsiang; Hsieh, Chih Chang; Hu, Chia Ming; Lai, Yi Ting; Chen, Chung Kuang; Chen, Han Sung; Li, Hsiang Pang; TEI-WEI KUO ; Chang, Meng Fan; Wang, Keh Chung; Hung, Chun Hsiung; Lu, Chih Yuan | Proceedings of the Annual International Symposium on Microarchitecture, MICRO | 0 | 0 | |
27 | 2022 | SPEECH RECOVERY FOR REAL-WORLD SELF-POWERED INTERMITTENT DEVICES | YU-CHEN LIN; Hsieh, Tsun An; Hung, Kuo Hsuan; Yu, Cheng; Garudadri, Harinath; Tsao, Yu; TEI-WEI KUO | ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing - Proceedings | 3 | 0 | |
28 | 2022 | RUSM: Harnessing Unused Resources in 3D NAND SSD to Enhance Reading Performance | Alhasan, Hasan; Chen, Yun Chih; Ho, Chien Chung; TEI-WEI KUO | Proceedings - 2022 IEEE 11th Non-Volatile Memory Systems and Applications Symposium, NVMSA 2022 | 0 | 0 | |
29 | 2022 | CacheSifter: Sifting Cache Files for Boosted Mobile Performance and Lifetime | Liang, Yu; Pan, Riwei; Ren, Tianyu; Cui, Yufei; Ausavarungnirun, Rachata; Chen, Xianzhang; Li, Changlong; TEI-WEI KUO ; Xue, Chun Jason | Proceedings of the 20th USENIX Conference on File and Storage Technologies, FAST 2022 | 7 | ||
30 | 2022 | ZoneLife: How to Utilize Data Lifetime Semantics to Make SSDs Smarter | Chen, Yun Chih; Wu, Chun Feng; Chang, Yuan Hao; TEI-WEI KUO | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 1 | 1 | |
31 | 2022 | Online Rare Category Identification and Data Diversification for Edge Computing | Cui Y; Li Q; Xue C.J.; TEI-WEI KUO | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 0 | 0 | |
32 | 2022 | On enduring more data through enabling page rewrite capability on multi-level-cell flash memory | Chang Y.-M; Ho C.-C; Tsao C.-W; Liao S.-H; Wang W.-C; TEI-WEI KUO ; Chang Y.-H. | Proceedings of the ACM Symposium on Applied Computing | 2 | 0 | |
33 | 2022 | MVLevelDB: Using Log-Structured Tree to Support Temporal Queries in IoT | Zhao X; Lam K; Zhu C.J; Chow C; TEI-WEI KUO | IEEE Internet of Things Journal | 1 | 0 | |
34 | 2022 | Stop unnecessary refreshing: extending 3D NAND flash lifetime with ORBER | Ye M; Li Q; Gao C; Deng S; TEI-WEI KUO ; Xue C.J. | CCF Transactions on High Performance Computing | 1 | 1 | |
35 | 2022 | RM-SSD: In-Storage Computing for Large-Scale Recommendation Inference | Sun X; Wan H; Li Q; CHIA-LIN YANG ; TEI-WEI KUO ; Xue C.J. | Proceedings - International Symposium on High-Performance Computer Architecture | 9 | 0 | |
36 | 2022 | TRACE: A Fast Transformer-based General-Purpose Lossless Compressor | Mao Y; Cui Y; TEI-WEI KUO ; Xue C.J. | WWW 2022 - Proceedings of the ACM Web Conference 2022 | 1 | 0 | |
37 | 2021 | Pattern-Guided file compression with user-Experience enhancement for log-Structured file system on mobile devices | Ji C; Chang L.-P; Pan R; Wu C; Gao C; Shi L; Kuo T.-W; Xue C.J.; TEI-WEI KUO | Proceedings of the 19th USENIX Conference on File and Storage Technologies, FAST 2021 | 11 | ||
38 | 2021 | Accelerating data filtering for database using FPGA | Sun X; Xue C.J; Yu J; Liu X.; TEI-WEI KUO | Journal of Systems Architecture | 10 | 5 | |
39 | 2021 | Read-Ahead Efficiency on Mobile Devices: Observation, Characterization, and Optimization | Liang Y; Pan R; Du Y; Fu C; Shi L; Kuo T.-W; Xue C.J.; TEI-WEI KUO | IEEE Transactions on Computers | 3 | 4 | |
40 | 2021 | Heterogeneity-aware Multicore Synchronization for Intermittent Systems | Chen W.-M; Kuo T.-W; Hsiu P.-C.; TEI-WEI KUO | ACM Transactions on Embedded Computing Systems | 6 | 5 | |
41 | 2021 | Scheduling-Aware Prefetching: Enabling the PCIe SSD to Extend the Global Memory of GPU Device | Wang T.-Y; Wu C.-F; Chang Y.-H; TEI-WEI KUO ; Tsao C.-W | Proceedings - 10th IEEE Non-Volatile Memory Systems and Applications Symposium, NVMSA 2021 | 1 | 0 | |
42 | 2021 | Space-efficient Graph Data Placement to Save Energy of ReRAM Crossbar | Lo T.-S; Wu C.-F; Chang Y.-H; Wang W.-C.; TEI-WEI KUO | Proceedings of the International Symposium on Low Power Electronics and Design | 3 | 0 | |
43 | 2021 | AWrite-friendly Arithmetic Coding Scheme for Achieving Energy-Efficient Non-Volatile Memory Systems | Chen Y.-S; Wu C.-F; Chang Y.-H; Kuo T.-W.; TEI-WEI KUO | Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC | 8 | 0 | |
44 | 2021 | PASSLEAF: A Pool-bAsed Semi-Supervised LEArning Framework for Uncertain Knowledge Graph Embedding | Chen Z.-M; Yeh M.-Y; TEI-WEI KUO | 35th AAAI Conference on Artificial Intelligence, AAAI 2021 | 9 | ||
45 | 2021 | How the common retention acceleration method of 3D NAND flash memory goes wrong? | Li Q; Ye M; Xue C.J; Li Q; Ye M; Xue C.J.; TEI-WEI KUO | HotStorage 2021 - Proceedings of the 13th ACM Workshop on Hot Topics in Storage and File Systems | 3 | 0 | |
46 | 2021 | ITRIM: I/O-Aware TRIM for Improving User Experience on Mobile Devices | Liang Y; Ji C; Fu C; Ausavarungnirun R; Li Q; Pan R; Chen S; Shi L; Kuo T.-W; TEI-WEI KUO | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 2 | 1 | |
47 | 2021 | Reptail: Cutting Storage Tail Latency with Inherent Redundancy | Chen Y.-C; Wu C.-F; Chang Y.-H; TEI-WEI KUO | Proceedings - Design Automation Conference | 2 | 0 | |
48 | 2021 | SEOFP-NET: Compression and Acceleration of Deep Neural Networks for Speech Enhancement Using Sign-Exponent-Only Floating-Points | Lin Y; Yu C; Hsu Y; Fu S; Tsao Y; TEI-WEI KUO | IEEE/ACM Transactions on Audio Speech and Language Processing | 4 | 1 | |
49 | 2021 | Future Computing Platform Design: A Cross-Layer Design Approach | Cheng H.-Y; Wu C.-F; Hakert C; Chen K.-H; Chang Y.-H; Chen J.-J; Yang C.-L; CHIA-LIN YANG ; TEI-WEI KUO | Proceedings -Design, Automation and Test in Europe, DATE | 6 | 0 | |
50 | 2020 | Valid Window: A New Metric to Measure the Reliability of NAND Flash Memory | Ye M; Li Q; Nie J; Kuo T.-W; Xue C.J.; TEI-WEI KUO | Proceedings of the 2020 Design, Automation and Test in Europe Conference and Exhibition, DATE 2020 | 0 | 0 | |
51 | 2020 | Request flow coordination for growing-scale solid-state drives | Yang, M.-C.; Chang, Y.-H.; Kuo, T.-W.; Wu, C.-F.; TEI-WEI KUO | IEEE Transactions on Computers | 0 | 0 | |
52 | 2020 | On Minimizing Analog Variation Errors to Resolve the Scalability Issue of ReRAM-Based Crossbar Accelerators | Kang, Y.-W.; Wu, C.-F.; Chang, Y.-H.; Kuo, T.-W.; Ho, S.-Y.; TEI-WEI KUO | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 10 | 9 | |
53 | 2020 | Joint Management of CPU and NVDIMM for Breaking down the Great Memory Wall | Wu, C.-F.; Chang, Y.-H.; Yang, M.-C.; Kuo, T.-W.; TEI-WEI KUO | IEEE Transactions on Computers | 16 | 14 | |
54 | 2020 | Inspection and Characterization of App File Usage in Mobile Devices | Ji C; Pan R; Chang L.-P; Shi L; Zhu Z; Liang Y; Kuo T.-W; Xue C.J.; TEI-WEI KUO | ACM Transactions on Storage | 15 | 9 | |
55 | 2020 | Boosting User Experience via Foreground-Aware Cache Management in UFS Mobile Devices | Wu C; Li Q; Ji C; Xue C.J.; TEI-WEI KUO | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 4 | 3 | |
56 | 2020 | Pruning Deep Reinforcement Learning for Dual User Experience and Storage Lifetime Improvement on Mobile Devices | Wu C; Cui Y; Ji C; Kuo T.-W; Xue C.J.; TEI-WEI KUO | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 8 | 7 | |
57 | 2020 | Fully nested neural network for adaptive compression and quantization | Cui Y; Liu Z; Yao W; Li Q; Chan A.B; Xue C.J.; TEI-WEI KUO | IJCAI International Joint Conference on Artificial Intelligence | 10 | ||
58 | 2020 | Overheating-Avoidance Remapping Scheme for Reliability Enhancement of 3D PCM Storage Systems | Lin Y.-C; Wang T.-Y; Tsao C.-W; Chang Y.-H; Chen J.-J; Liu X; TEI-WEI KUO | ACM International Conference Proceeding Series | 0 | 0 | |
59 | 2020 | Enabling Failure-Resilient Intermittent Systems without Runtime Checkpointing | Chen W.-M; Kuo T.-W; Hsiu P.-C.; TEI-WEI KUO | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 10 | 8 | |
60 | 2020 | Spatiotemporal super-resolution with cross-task consistency and its semi-supervised extension | Lin H.-Y; Hsiu P.-C; Lin Y.-Y.; TEI-WEI KUO | IJCAI International Joint Conference on Artificial Intelligence | 1 | ||
61 | 2020 | FlashEmbedding: Storing embedding tables in SSD for large-scale recommender systems | Wan H; Sun X; Cui Y; CHIA-LIN YANG ; TEI-WEI KUO ; Xue C.J. | APSys 2021 - Proceedings of the 12th ACM SIGOPS Asia-Pacific Workshop on Systems | 9 | 0 | |
62 | 2020 | Shaving retries with sentinels for fast read over high-density 3d flash | Li Q; Ye M; Cui Y; Shi L; Li X; Xue C.J.; TEI-WEI KUO | Proceedings of the Annual International Symposium on Microarchitecture, MICRO | 16 | 0 | |
63 | 2020 | A Kernel Unfolding Approach to Trade Data Movement with Computation Power for CNN Acceleration | Wu, Y.-H.; Wang, T.-Y.; Chang, Y.-H.; Chang, H.-S.; TEI-WEI KUO | Proceedings - 9th IEEE Non-Volatile Memory Systems and Applications Symposium, NVMSA 2020 | 0 | 0 | |
64 | 2020 | When Storage Response Time Catches up with Overall Context Switch Overhead, What Is Next? | Wu, C.-F.; Chang, Y.-H.; Yang, M.-C.; Kuo, T.-W.; TEI-WEI KUO | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 11 | 11 | |
65 | 2020 | Acclaim: Adaptive memory reclaim to improve user experience in android systems | Liang, Y.; Li, J.; Ausavarungnirun, R.; Pan, R.; Shi, L.; Kuo, T.-W.; Xue, C.J.; TEI-WEI KUO | Proceedings of the 2020 USENIX Annual Technical Conference, ATC 2020 | 16 | ||
66 | 2020 | Differentiating cache files for fine-grain management to improve mobile performance and lifetime | Liang, Y.; Li, J.; Chen, X.; Ausavarungnirun, R.; Pan, R.; Kuo, T.-W.; Xue, C.J.; TEI-WEI KUO | HotStorage 2020 - 12th USENIX Workshop on Hot Topics in Storage and File Systems, co-located with USENIX ATC 2020 | 2 | ||
67 | 2019 | Multiversion concurrency control on intermittent systems | Chen, W.-M.; Chen, Y.-T.; Hsiu, P.-C.; Kuo, T.-W.; TEI-WEI KUO | IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD | 12 | 0 | |
68 | 2019 | On Improving the Write Responsiveness for Host-Aware SMR Drives | Yang, M.-C.; Chang, Y.-H.; Wu, F.; Kuo, T.-W.; Du, D.H.C.; TEI-WEI KUO | IEEE Transactions on Computers | 13 | 12 | |
69 | 2019 | Performance tuning case study on graphics processing unit-accelerated monte carlo simulations for proton therapy. | Chen, Yi-Shen; Cheng, Sheng-Wei; TEI-WEI KUO | Proceedings of the 2019 Research in Adaptive and Convergent Systems, RACS 2019 | 0 | 0 | |
70 | 2019 | Enabling failure-resilient intermittently-powered systems without runtime checkpointing | Chen, W.-M.; Hsiu, P.-C.; TEI-WEI KUO | Proceedings - Design Automation Conference | 16 | 0 | |
71 | 2019 | Fast Frequent Pattern Mining without Candidate Generations on GPU by Low Latency Memory Allocation | Wu, Y.-C.; Yeh, M.-Y.; Kuo, T.-W.; TEI-WEI KUO | Proceedings - 2019 IEEE International Conference on Big Data, Big Data 2019 | 4 | 0 | |
72 | 2019 | A Study on Speech Enhancement Using Exponent-Only Floating Point Quantized Neural Network (EOFP-QNN) | Hsu, Y.-T.; Lin, Y.-C.; Fu, S.-W.; Tsao, Y.; TEI-WEI KUO | 2018 IEEE Spoken Language Technology Workshop, SLT 2018 - Proceedings | 10 | 0 | |
73 | 2019 | Real-Time Computing and the Evolution of Embedded System Designs | Kuo, T.-W.; Chen, J.-J.; Chang, Y.-H.; TEI-WEI KUO | Proceedings - Real-Time Systems Symposium | 4 | 0 | |
74 | 2019 | LSIM: Ultra lightweight similarity measurement for mobile graphics applications | Chang, Y.-C.; Chen, W.-M.; Hsiu, P.-C.; Lin, Y.-Y.; TEI-WEI KUO | Proceedings - Design Automation Conference | 2 | 0 | |
75 | 2019 | IA-Net: Acceleration and Compression of Speech Enhancement using Integer-adder Deep Neural Network | Lin, Y.-C.; Hsu, Y.-T.; Fu, S.-W.; Tsao, Y.; Kuo, T.-W.; TEI-WEI KUO | Proceedings of the Annual Conference of the International Speech Communication Association, INTERSPEECH | 11 | 0 | |
76 | 2019 | Autonomous I/O for Intermittent IoT Systems | Lin, Y.-C.; Hsiu, P.-C.; TEI-WEI KUO | Proceedings of the International Symposium on Low Power Electronics and Design | 15 | 0 | |
77 | 2019 | Achieving lossless accuracy with lossy programming for efficient neural-network training on NVM-based systems | Wang, W.-C.; Chang, Y.-H.; Kuo, T.-W.; Ho, C.-C.; Chang, Y.-M.; TEI-WEI KUO | ACM Transactions on Embedded Computing Systems | 15 | 11 | |
78 | 2018 | Proactive channel adjustment to improve polar code capability for flash storage devices. | Hsu, Kun-Cheng; Tsao, Che-Wei; Chang, Yuan-Hao; Kuo, Tei-Wei; Huang, Yu-Ming; TEI-WEI KUO | Proceedings of the 55th Annual Design Automation Conference, DAC 2018, San Francisco, CA, USA, June 24-29, 2018 | 2 | 0 | |
79 | 2018 | Message from the CPSCom-2018 General Chairs | Hu S; Zheng Q; Kuo T.-W; Piuri V.; TEI-WEI KUO | Proceedings - IEEE 2018 International Congress on Cybermatics: 2018 IEEE Conferences on Internet of Things, Green Computing and Communications, Cyber, Physical and Social Computing, Smart Data, Blockchain, Computer and Information Technology, iThings/GreenCom/CPSCom/SmartData/Blockchain/CIT 2018 | 0 | 0 | |
80 | 2018 | Boosting NVDIMM Performance With a Lightweight Caching Algorithm | Tsao, Che-Wei; Chang, Yuan-Hao; Kuo, Tei-Wei; TEI-WEI KUO | Ieee Transactions on Very Large Scale Integration (vlsi) Systems | 6 | 4 | |
81 | 2018 | A partnership-based approach to minimize the maximal response time of flash-memory storage systems. | Wang, Tse-Yuan; Tsao, Che-Wei; Chang, Yuan-Hao; Kuo, Tei-Wei; Li, Hsiang-Pang; TEI-WEI KUO | Proceedings of the 33rd Annual ACM Symposium on Applied Computing, SAC 2018, Pau, France, April 09-13, 2018 | 0 | 0 | |
82 | 2018 | Hot-Spot Suppression for Resource-Constrained Image Recognition Devices With Nonvolatile Memory | Wu, Chun-Feng; Yang, Ming-Chang; Chang, Yuan-Hao; Kuo, Tei-Wei; TEI-WEI KUO | Ieee Transactions on Computer-Aided Design of Integrated Circuits and Systems | 18 | 18 | |
83 | 2018 | Boosting the performance with a data-backup-free programming scheme for TLC-based SSDs. | Pan, Chin-Chiang; Ho, Chien-Chung; Chang, Yuan-Hao; Kuo, Tei-Wei; Chang, Yu-Ming; Yang, Ming-Chang; TEI-WEI KUO | Proceedings of the 33rd Annual ACM Symposium on Applied Computing, SAC 2018, Pau, France, April 09-13, 2018 | 2 | 0 | |
84 | 2018 | An SLC-Like Programming Scheme for MLC Flash Memory | Ho, Chien-Chung; Chang, Yu-Ming; Chang, Yuan-Hao; Kuo, Tei-Wei; TEI-WEI KUO | Acm Transactions on Storage | 0 | 1 | |
85 | 2018 | Oasis: A Mobile Cyber-Physical System for Accessible Location Exploration | Cheng, Chih-Chuan; Hsiu, Pi-Cheng; Hu, Ting-Kuei; Kuo, Tei-Wei; TEI-WEI KUO | Proceedings of the Ieee | 4 | 4 | |
86 | 2018 | Differentiated handling of physical scenes and virtual objects for mobile augmented reality | Yen, Chih-Hsuan; Chen, Wei-Ming; Hsiu, Pi-Cheng; TEI-WEI KUO | IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD | 2 | 0 | |
87 | 2018 | Duet: an OLED & GPU co-management scheme for dynamic resolution adaptation. | Lin, Han-Yi; Hung, Chia-Chun; Hsiu, Pi-Cheng; Kuo, Tei-Wei; TEI-WEI KUO | Proceedings of the 55th Annual Design Automation Conference, DAC 2018, San Francisco, CA, USA, June 24-29, 2018 | 11 | 0 | |
88 | 2018 | Impact of memory frequency scaling on user-centric smartphone workloads. | Mendis, Hashan R.; Chen, Wei-Ming; Indrusiak, Leandro Soares; Kuo, Tei-Wei; Hsiu, Pi-Cheng; TEI-WEI KUO | Proceedings of the 33rd Annual ACM Symposium on Applied Computing, SAC 2018, Pau, France, April 09-13, 2018 | 1 | 0 | |
89 | 2018 | Scrubbing-Aware Secure Deletion for 3-D NAND Flash | Wang, Wei-Chen; Ho, Chien-Chung; Chang, Yuan-Hao; Kuo, Tei-Wei; Lin, Ping-Hsien; TEI-WEI KUO | Ieee Transactions on Computer-Aided Design of Integrated Circuits and Systems | 22 | 15 | |
90 | 2017 | Write-Aware Memory Management for Hybrid SLC-MLC PCM Memory Systems | Ho, Chien-Chung; Chang, Yu-Ming; Chang, Yuan-Hao; Chen, Hsiu-Chang; TEI-WEI KUO | Applied Computing Review | 2 | 0 | |
91 | 2017 | Wildly Heterogeneous Post-CMOS Technologies Meet Software (Dagstuhl Seminar 17061). | Mazo, Jer?nimo Castrill?n; Kuo, Tei-Wei; Riel, Heike E.; Lieber, Matthias; TEI-WEI KUO | Dagstuhl Reports | 0 | 0 | |
92 | 2017 | Memory Bank Partitioning for Fixed-Priority Tasks in a Multi-core System. | Cheng, Sheng-Wei; Chen, Jian-Jia; Reineke, Jan; Kuo, Tei-Wei; TEI-WEI KUO | 2017 IEEE Real-Time Systems Symposium, RTSS 2017, Paris, France, December 5-8, 2017 | 12 | 0 | |
93 | 2017 | Distillation: A light-weight data separation design to boost performance of NVDIMM main memory. | Tsao, Che-Wei; Chang, Yuan-Hao; Kuo, Tei-Wei; Tseng, Shau-Yin; TEI-WEI KUO | 23rd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, RTCSA 2017, Hsinchu, Taiwan, August 16-18, 2017 | 1 | 0 | |
94 | 2017 | Guest Editors' Introduction: Critical and Enabling Techniques for Emerging Memories | Chen, Yiran; de Salvo, Barbara; Kuo, Tei-Wei; TEI-WEI KUO | Ieee Design & Test | 2 | 3 | |
95 | 2017 | A fast non-volatile memory aware algorithm for generating random scale-free networks. | Tu, Cheng-Chin; Yeh, Mi-Yen; Kuo, Tei-Wei; TEI-WEI KUO | 2017 IEEE International Conference on Big Data, BigData 2017, Boston, MA, USA, December 11-14, 2017 | 1 | 0 | |
96 | 2017 | A Fair Scheduling Algorithm for Multiprocessor Systems Using a Task Satisfaction Index. | Jung, Jinman; Shin, Jongho; Hong, Jiman; Lee, Jinwoo; TEI-WEI KUO | Proceedings of the 2017 Research in Adaptive and Convergent Systems, RACS 2017 | 2 | 0 | |
97 | 2017 | Virtual persistent cache: Remedy the long latency behavior of host-aware shingled magnetic recording drives. | Yang, Ming-Chang; Chang, Yuan-Hao; Wu, Fenggang; Kuo, Tei-Wei; Du, David H. C.; TEI-WEI KUO | 2017 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2017, Irvine, CA, USA, November 13-16, 2017 | 18 | 0 | |
98 | 2017 | ShiftMask: Dynamic OLED power shifting based on visual acuity for interactive mobile applications. | Lin, Han-Yi; Hsiu, Pi-Cheng; Kuo, Tei-Wei; TEI-WEI KUO | 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2017, Taipei, Taiwan, July 24-26, 2017 | 10 | 0 | |
99 | 2017 | Antiwear Leveling Design for SSDs With Hybrid ECC Capability | Ho, Chien-Chung; Liu, Yu-Ping; Chang, Yuan-Hao; Kuo, Tei-Wei; TEI-WEI KUO | Ieee Transactions on Very Large Scale Integration (vlsi) Systems | 11 | 8 | |
100 | 2016 | User-centric scheduling and governing on mobile devices with big.LITTLE processors | Hsiu, P.-C.; Tseng, P.-H.; Chen, W.-M.; Pan, C.-C.; Kuo, T.-W.; TEI-WEI KUO | ACM Transactions on Embedded Computing Systems | 16 | 10 | |
101 | 2016 | Reducing Data Migration Overheads of Flash Wear Leveling in a Progressive Way | Yang, M.-C.; Chang, Y.-H.; Kuo, T.-W.; TEI-WEI KUO | IEEE Transactions on Very Large Scale Integration (VLSI) Systems | 15 | 12 | |
102 | 2016 | Designing CPS/IoT applications for smart buildings and cities. | CHI-SHENG SHIH ; Chou, Jyun-Jhe; Reijers, Niels; TEI-WEI KUO | IET Cyper-Phys. Syst.: Theory & Appl. | 0 | 0 | |
103 | 2016 | How to enable software isolation and boost system performance with sub-block erase over 3D flash memory. | Chang, Hsin-Yu; Ho, Chien-Chung; Chang, Yuan-Hao; Chang, Yu-Ming; Kuo, Tei-Wei; TEI-WEI KUO | Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES 2016, Pittsburgh, Pennsylvania, USA, October 1-7, 2016 | 7 | 0 | |
104 | 2016 | Many-Core Real-Time Task Scheduling with Scratchpad Memory | Cheng, Sheng-Wei; Chang, Che-Wei; Chen, Jian-Jia; Kuo, Tei-Wei; Hsiu, Pi-Cheng; TEI-WEI KUO | Ieee Transactions on Parallel and Distributed Systems | 7 | 5 | |
105 | 2016 | A disturbance-aware sub-block design to improve reliability of 3D MLC flash memory. | Chang, Hung-Sheng; Chang, Yuan-Hao; Kuo, Tei-Wei; Chang, Yu-Ming; Li, Hsiang-Pang; TEI-WEI KUO | Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES 2016, Pittsburgh, Pennsylvania, USA, October 1-7, 2016 | 1 | 0 | |
106 | 2016 | Value-Based Task Scheduling for Nonvolatile Processor-Based Embedded Devices. | Chen, Wei-Ming; Cheng, Taisheng; Hsiu, Pi-Cheng; Kuo, Tei-Wei; TEI-WEI KUO | 2016 IEEE Real-Time Systems Symposium, RTSS 2016, Porto, Portugal, November 29 - December 2, 2016 | 13 | 0 | |
107 | 2016 | Introduction to the special issue on smart reconfigurable system modeling, design, and implementation | Hsiung, P.-A.; Chang, Y.-H.; Huang, C.-H.; TEI-WEI KUO | Microprocessors and Microsystems | 0 | 0 | |
108 | 2016 | Pattern-aware write-back strategy to minimize energy consumption of PCM-based storage systems | Chang, H.-S.; Chang, Y.-H.; Kuan, Y.-H.; Huang, X.-Z.; Kuo, T.-W.; TEI-WEI KUO | 2016 5th Non-Volatile Memory Systems and Applications Symposium, NVMSA 2016 | 4 | 0 | |
109 | 2016 | Introduction to the special issue on reconfigurable cyber-physical and embedded system design | Hsiung, P.-A.; Kuo, T.-W.; Chang, Y.-H.; Huang, C.-H.; TEI-WEI KUO | Journal of Systems Architecture | 4 | 5 | |
110 | 2016 | A semantics-aware design for mounting remote sensors on mobile systems. | Jong, Yu-Wen; Hsiu, Pi-Cheng; Cheng, Sheng-Wei; TEI-WEI KUO | Proceedings of the 53rd Annual Design Automation Conference, DAC 2016, Austin, TX, USA, June 5-9, 2016 | 5 | 0 | |
111 | 2016 | Improving PCM endurance with a constant-cost wear leveling design | Chang, Y.-M.; Hsiu, P.-C.; Chang, Y.-H.; Chen, C.-H.; Kuo, T.-W.; Wang, C.-Y.M.; TEI-WEI KUO | ACM Transactions on Design Automation of Electronic Systems | 22 | 16 | |
112 | 2016 | Disturbance Relaxation for 3D Flash Memory | Chang, Y.-M.; Chang, Y.-H.; Kuo, T.-W.; Li, Y.-C.; Li, H.-P.; TEI-WEI KUO | IEEE Transactions on Computers | 10 | 10 | |
113 | 2016 | Capacity-independent address mapping for flash storage devices with explosively growing capacity | Yang, M.-C.; Chang, Y.-H.; Kuo, T.-W.; Huang, P.-C.; TEI-WEI KUO | IEEE Transactions on Computers | 7 | 7 | |
114 | 2016 | Framework designs to enhance reliable and timely services of disaster management systems | Hsiu, P.-C.; Chang, Y.-H.; CHI-SHENG SHIH ; TEI-WEI KUO | IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD | 7 | 0 | |
115 | 2016 | Virtual Flash Chips: Reinforcing the Hardware Abstraction Layer to Improve Data Recoverability of Flash Devices | Yang, M.-C.; Chang, Y.-H.; Kuo, T.-W.; TEI-WEI KUO | IEEE Transactions on Computers | 3 | 4 | |
116 | 2016 | Proceedings of the 17th ACM SIGPLAN/SIGBED Conference on Languages, Compilers, Tools, and Theory for Embedded Systems, LCTES 2016, Santa Barbara, CA, USA, June 13 - 14, 2016 | TEI-WEI KUO | ||||
117 | 2015 | PWL: A progressive wear leveling to minimize data migration overheads for NAND flash devices | Chen, F.-H.; Yang, M.-C.; Chang, Y.-H.; Kuo, T.-W.; TEI-WEI KUO | Design, Automation and Test in Europe | |||
118 | 2015 | Rethinking I/O request management over eMMC-based solid-state drives | Tsao, C.-W.; Liu, C.-Y.; Ho, C.-C.; Wang, T.-Y.; Huang, P.-C.; Chang, Y.-H.; TEI-WEI KUO | 2015 Research in Adaptive and Convergent Systems | 4 | 0 | |
119 | 2015 | A Light-Weighted Software-Controlled Cache for PCM-based Main Memory Systems. | Chang, Hung-Sheng; Chang, Yuan-Hao; Kuo, Tei-Wei; TEI-WEI KUO | Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015, Austin, TX, USA, November 2-6, 2015 | 13 | 0 | |
120 | 2015 | Virtual flash chIPs: Rethinking the layer design of flash devices to improve data recoverability | Yang, M.-C.; Chang, Y.-H.; TEI-WEI KUO | Design Automation Conference | 7 | 0 | |
121 | 2015 | Achieving SLC performance with MLC flash memory. | Chang, Yu-Ming; Chang, Yuan-Hao; Kuo, Tei-Wei; Li, Yung-Chun; TEI-WEI KUO | Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015 | 12 | 0 | |
122 | 2015 | PWL: a progressive wear leveling to minimize data migration overheads for nand flash devices. | Chen, Fu-Hsin; Yang, Ming-Chang; Chang, Yuan-Hao; Kuo, Tei-Wei; TEI-WEI KUO | Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015 | |||
123 | 2015 | Access Pattern Reshaping for eMMC-enabled SSDs. | Ho, Chien-Chung; Chang, Yuan-Hao; TEI-WEI KUO | Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015, Austin, TX, USA, November 2-6, 2015 | 4 | 0 | |
124 | 2015 | Reliability-aware striping with minimized performance overheads for flash-based storage devices | Yang, M.-C.; Chang, Y.-M.; Huang, P.-C.; Chang, Y.-H.; Lee, L.-J.; TEI-WEI KUO | ACM Symposium on Applied Computing | 0 | 0 | |
125 | 2015 | Real-time task scheduling on island-based multi-core platforms | Chang, C.-W.; Chen, J.-J.; Kuo, T.-W.; Falk, H.; TEI-WEI KUO | IEEE Transactions on Parallel and Distributed Systems | 12 | 20 | |
126 | 2015 | A User-Centric CPU-GPU Governing Framework for 3D Games on Mobile Devices. | Chen, Wei-Ming; Cheng, Sheng-Wei; Hsiu, Pi-Cheng; TEI-WEI KUO | Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015, Austin, TX, USA, November 2-6, 2015 | 23 | 0 | |
127 | 2015 | How to improve the space utilization of dedup-based PCM storage devices? | Lin, C.-T.; Chang, Y.-H.; Kuo, T.-W.; Chang, H.-S.; TEI-WEI KUO | 2015 International Conference on Hardware/Software Codesign and System Synthesis | 6 | 0 | |
128 | 2015 | Marching-based wear-leveling for PCM-based storage systems | Chang, H.-S.; Chang, Y.-H.; Hsiu, P.-C.; Kuo, T.-W.; Li, H.-P.; TEI-WEI KUO | ACM Transactions on Design Automation of Electronic Systems | 26 | 19 | |
129 | 2014 | Garbage collection and wear leveling for flash memory: Past and future | Yang, M.-C.; Chang, Y.-M.; Tsao, C.-W.; Huang, P.-C.; Chang, Y.-H.; TEI-WEI KUO | 2014 International Conference on Smart Computing | 68 | 0 | |
130 | 2014 | Booting time minimization for real-time embedded systems with non-volatile memory | Chang, C.-W.; Yang, C.-Y.; Chang, Y.-H.; Kuo, T.-W.; TEI-WEI KUO | IEEE Transactions on Computers | 14 | 13 | |
131 | 2014 | Introduction to the special issue on real-time, embedded and cyber-physical systems | Chang, L.-P.; Kuo, T.-W.; Gill, C.; Nakazawa, J.; TEI-WEI KUO | ACM Transactions on Embedded Computing Systems | 6 | 4 | |
132 | 2014 | A PCM translation layer for integrated memory and storage management | Chang, B.-J.; Chang, Y.-H.; Chang, H.-S.; Kuo, T.-W.; TEI-WEI KUO | 2014 International Conference on Hardware/Software Codesign and System Synthesis | 17 | 0 | |
133 | 2014 | Real-time process synchronization for systems with accelerators | Huang, K.-C.; Wu, Y.-C.; Chang, C.-W.; Kuo, T.-W.; Shih, C.-S.; TEI-WEI KUO ; CHI-SHENG SHIH | 2014 Research in Adaptive and Convergent Systems | 0 | 0 | |
134 | 2014 | Energy-aware data placement strategy for SSD-assisted streaming video servers | Ho, C.-C.; Chen, H.-W.; Chang, Y.-H.; Chang, Y.-M.; Huang, P.-C.; Kuo, T.-W.; TEI-WEI KUO | IEEE Non-Volatile Memory Systems and Applications Symposium | 2 | 0 | |
135 | 2014 | Adaptive range-based address mapping for the flash storage devices with explosive capacity. | Chang, Yu-Ming; Chang, Yuan-Hao; Huang, Po-Chun; Hsu, Shou-Chieh; TEI-WEI KUO | The 8th International Conference on Ubiquitous Information Management and Communication, ICUIMC '14, Siem Reap, Cambodia - January 09 - 11, 2014 | 0 | 0 | |
136 | 2014 | An adaptive endurance-aware B+ -Tree for flash memory storage systems | Fang, H.-W.; Yeh, M.-Y.; Suei, P.-L.; Kuo, T.-W.; TEI-WEI KUO | IEEE Transactions on Computers | 16 | 13 | |
137 | 2014 | Endurance-aware clustering-based mining algorithm for non-volatile phase-change memory | Yang, M.-C.; Tu, C.-C.; Chang, Y.-H.; Suei, P.-L.; TEI-WEI KUO | IEEE 3rd Global Conference on Consumer Electronics | 2 | 0 | |
138 | 2014 | Accelerator-Aware Task Synchronization for Real-Time Systems. | Wu, Yu-Chen; Chang, Che-Wei; Kuo, Tei-Wei; TEI-WEI KUO ; CHI-SHENG SHIH | 17th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, ISORC 2014, Reno, NV, USA, June 10-12, 2014 | 2 | 0 | |
139 | 2014 | Endurance-aware flash-cache management for storage servers | Suei, P.-L.; Yeh, M.-Y.; Kuo, T.-W.; TEI-WEI KUO | IEEE Transactions on Computers | 8 | 7 | |
140 | 2014 | Computation Offloading by Using Timing Unreliable Components in Real-Time Systems. | Liu, Wei; Chen, Jian-Jia; Toma, Anas; Kuo, Tei-Wei; TEI-WEI KUO | The 51st Annual Design Automation Conference 2014, DAC '14, San Francisco, CA, USA, June 1-5, 2014 | 21 | 0 | |
141 | 2014 | On trading wear-leveling with heal-leveling | Chang Y.-M; Chang Y.-H; Chen J.-J; TEI-WEI KUO ; Li H.-P; Lue H.-T. | Proceedings - Design Automation Conference | 17 | 0 | |
142 | 2014 | Application behavior analysis in resource consumption for mobile devices. | Chang, Su-Wei; Cheng, Sheng-Wei; Hsiu, Pi-Cheng; Kuo, Tei-Wei; TEI-WEI KUO | Symposium on Applied Computing, SAC 2014, Gyeongju, Republic of Korea - March 24 - 28, 2014 | 5 | 0 | |
143 | 2014 | A classification-based prefetching scheme for hibernation of embedded computing systems. | Ho, Chien-Chung; Chang, Yu-Ming; Chang, Yuan-Hao; Hong, Sheng-Yen; Chang, Che-Wei; TEI-WEI KUO | Proceedings of the 2014 Conference on Research in Adaptive and Convergent Systems, RACS 2014, Towson, Maryland, USA, October 5-8, 2014 | 1 | 0 | |
144 | 2014 | The acceleration of pipeline workloads under the FPGA area and bandwidth constraints | Huang, Wei-Ning; Cheng, Sheng-Wei; Chang, Che-Wei; Wu, Yu-Chen; Kuo, Tei-Wei; Hsu, Yung-Chin; WEN-YIH TSENG ; SHIH-HAO HUNG ; TEI-WEI KUO | 20th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications | 1 | 0 | |
145 | 2014 | Deadline-aware load balancing for MapReduce. | Lai, Zhao-Rong; Chang, Che-Wei; Liu, Xue; Kuo, Tei-Wei; TEI-WEI KUO | 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications, Chongqing, China, August 20-22, 2014 | 6 | 0 | |
146 | 2014 | Profit-aware base station operation for green cellular networks. | Chiu, Te-Chuan; Yu, Ya-Ju; AI-CHUN PANG ; TEI-WEI KUO | IEEE International Conference on Communications, ICC 2014, Sydney, Australia, June 10-14, 2014 | 4 | 0 | |
147 | 2014 | User-centric energy-efficient scheduling on multi-core mobile devices | Tseng, P.-H.; Hsiu, P.-C.; Pan, C.-C.; TEI-WEI KUO | Design Automation Conference | 28 | 0 | |
148 | 2014 | Current-aware scheduling for flash storage devices. | Huang, Tzu-Jung; Ho, Chien-Chung; Huang, Po-Chun; Chang, Yuan-Hao; Chang, Che-Wei; TEI-WEI KUO | 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications, Chongqing, China, August 20-22, 2014 | 3 | 0 | |
149 | 2014 | Keynote: "Non-volatile memory innovation". | TEI-WEI KUO | 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications, Chongqing, China, August 20-22, 2014 | |||
150 | 2013 | An index-based management scheme with adaptive caching for huge-scale low-cost embedded flash storages | Huang, P.-C.; Chang, Y.-H.; Kuo, T.-W.; TEI-WEI KUO | ACM Transactions on Design Automation of Electronic Systems | 6 | 2 | |
151 | 2013 | MLC-flash-friendly logging and recovery for databases | Fang, H.-W.; Yeh, M.-Y.; TEI-WEI KUO | ACM Symposium on Applied Computing | 2 | 0 | |
152 | 2013 | Messages from the conference chairs. | Kuo, Tei-Wei; Thiele, Lothar; Chang, Li-Pin; Gill, Christopher D.; TEI-WEI KUO | 2013 IEEE 19th International Conference on Embedded and Real-Time Computing Systems and Applications, RTCSA 2013, Taipei, Taiwan, August 19-21, 2013 | 0 | 0 | |
153 | 2013 | A disturb-alleviation scheme for 3D flash memory | Chang, Y.-M.; Chang, Y.-H.; Kuo, T.-W.; Li, H.-P.; TEI-WEI KUO | IEEE/ACM International Conference on Computer-Aided Design | 27 | 0 | |
154 | 2013 | A DRAM-flash index for native flash file systems | Ho, C.-C.; Huang, P.-C.; Chang, Y.-H.; TEI-WEI KUO | 2013 International Conference on Hardware/Software Codesign and System Synthesis | 2 | 0 | |
155 | 2013 | Joint management of performance-predictable virtualized storage devices with hard disk and flash memory | Huang, P.-C.; Chang, Y.-H.; Kuo, T.-W.; Ho, C.-C.; TEI-WEI KUO | 2013 SIAM International Conference on Data Mining | 0 | 0 | |
156 | 2013 | Reliability enhancement of flash-memory storage systems: An efficient version-based design | Chang, Y.-H.; Huang, P.-C.; Hsu, P.-H.; Lee, L.-J.; Kuo, T.-W.; Du, D.H.-C.; TEI-WEI KUO | IEEE Transactions on Computers | 16 | 14 | |
157 | 2013 | An efficient B<sup>+</sup>-tree design for main-memory database systems with strong access locality | Suei, P.-L.; Lee, V.C.S.; Lo, S.-W.; Kuo, T.-W.; TEI-WEI KUO | Information Sciences | 5 | 3 | |
158 | 2013 | Real-time partitioned scheduling on multi-core systems with local and global memories | Chang, C.-W.; Chen, J.-J.; Kuo, T.-W.; TEI-WEI KUO | Asia and South Pacific Design Automation Conference | 10 | 0 | |
159 | 2013 | A reliability enhancement design under the flash translation layer for MLC-based flash-memory storage systems | Chang, Y.-H.; Yang, M.-C.; Kuo, T.-W.; Hwang, R.-H.; TEI-WEI KUO | Transactions on Embedded Computing Systems | 24 | 21 | |
160 | 2012 | Joint management of RAM and flash memory with access pattern considerations. | Huang, Po-Chun; Chang, Yuan-Hao; TEI-WEI KUO | The 49th Annual Design Automation Conference 2012, DAC '12, San Francisco, CA, USA, June 3-7, 2012 | 11 | 0 | |
161 | 2012 | Special issue on research in applied computation symposium | Sung, Y.S.; Gantenbein, R.E.; Kuo, T.-W.; TEI-WEI KUO | International journal on information | |||
162 | 2012 | Age-based PCM wear leveling with nearly zero search cost. | Chen, Chi-Hao; Hsiu, Pi-Cheng; Kuo, Tei-Wei; Yang, Chia-Lin; TEI-WEI KUO ; CHIA-LIN YANG | The 49th Annual Design Automation Conference 2012, DAC '12, San Francisco, CA, USA, June 3-7, 2012 | 85 | 0 | |
163 | 2012 | Editorial message: Special track on Operating Systems (OS) | Kuo, T.-W.; Heo, J.; TEI-WEI KUO | Proceedings of the ACM Symposium on Applied Computing | |||
164 | 2012 | Preface | Gantenbein, R.E.; Kuo, T.-W.; TEI-WEI KUO | 2012 ACM Research in Applied Computation Symposium | |||
165 | 2012 | Multilayer bus optimization for real-time embedded systems | Hsiu, P.-C.; Hsieh, C.-K.; Lee, D.-N.; Kuo, T.-W.; TEI-WEI KUO | IEEE Transactions on Computers | 9 | 7 | |
166 | 2012 | Working-set-based address mapping for ultra-large-scaled flash devices | Yang, M.-C.; Chang, Y.-H.; Huang, P.-C.; TEI-WEI KUO | 10th ACM International Conference on Hardware/Software-Codesign and System Synthesis | 13 | 0 | |
167 | 2012 | A real-time, energy-efficient system software suite for heterogeneous multicore platforms | Hung, Shih-Hao; Shih, Chi-Sheng; Kuo, Tei-Wei; Tu, Chia-Heng; Hung, Shih-Hao ; TEI-WEI KUO ; Shih, Chi-Sheng ; CHI-SHENG SHIH ; SHIH-HAO HUNG | 10th ACM International Conference on Hardware/Software-Codesign and System Synthesis | 6 | 0 | |
168 | 2012 | An adaptive file-system-oriented FTL mechanism for flash-memory storage systems | Chang, Yuan-Hao; Wu, Po-Liang; Kuo, Tei-Wei; Hung, Shih-Hao; TEI-WEI KUO ; SHIH-HAO HUNG | Transactions on Embedded Computing Systems | 18 | 16 | |
169 | 2012 | Partitioned scheduling for real-time tasks on multiprocessor embedded systems with programmable shared SRAMs | Chang, C.-W.; Chen, J.-J.; Munawar, W.; Kuo, T.-W.; TEI-WEI KUO | 10th ACM International Conference on Embedded Software 2012 | 5 | 0 | |
170 | 2012 | Editorial message: Special track on Operating Systems (OS) | Kuo, T.-W.; Heo, J.; TEI-WEI KUO | ACM Symposium on Applied Computing | |||
171 | 2012 | Advanced issues of operating systems for reliable distributed sensor networks: Aim and scope | Hong, J.; Kuo, T.-W.; TEI-WEI KUO | International Journal of Distributed Sensor Networks | 0 | 0 | |
172 | 2012 | System-wide profiling and optimization with virtual machines | Hung, Shih-Hao ; Kuo, Tei-Wei; Shih, Chi-Sheng ; TEI-WEI KUO ; CHI-SHENG SHIH ; SHIH-HAO HUNG | Asia and South Pacific Design Automation Conference | 14 | 0 | |
173 | 2011 | Memory controllers for high-performance and real-time MPSoCs | Akesson, B.; Huang, P.-C.; Clermidy, F.; Dutoit, D.; Goossens, K.; Chang, Y.-H.; Kuo, T.-W.; Vivet, P.; TEI-WEI KUO | 9th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis | 15 | 0 | |
174 | 2011 | A management strategy for the reliability and performance improvement of MLC-based flash-memory storage systems | Chang, Yuan-Hao; Kuo, Tei-Wei; TEI-WEI KUO | IEEE Transactions on Computers | 24 | 22 | |
175 | 2011 | Data transmission with the battery utilization maximization | Chang, Che-Wei; Zhang, Tie-Fei; Yang, Chuan-Yue; Chen, Ying-Jheng; SHIH-HAO HUNG ; TEI-WEI KUO ; Chen, Tian-Zhou | Journal of Computer Science and Technology | 3 | 1 | |
176 | 2011 | Task synchronization and allocation for many-core real-time systems | Hsiu, P.-C.; Lee, D.-N.; TEI-WEI KUO | 9th ACM International Conference on Embedded Software | 25 | 0 | |
177 | 2011 | A low-memory address translation mechanism for flash-memory storage systems | Wu, C.-H.; Jan, C.-K.; Kuo, T.-W.; TEI-WEI KUO | Journal of Information Science and Engineering | |||
178 | 2011 | Special issue on reliable and autonomous computational science | Shin, S.Y.; Gantenbein, R.E.; Kuo, T.-W.; Hong, J.; TEI-WEI KUO | International journal on information | |||
179 | 2011 | Proceedings of the 2011 ACM Research in Applied Computation Symposium, RACS 2011: Preface | Gantenbein, R.E.; Kuo, T.-W.; TEI-WEI KUO | 2011 ACM Research in Applied Computation Symposium | |||
180 | 2011 | Special track on Operating Systems (OS) | Hong, J.; Kuo, T.-W.; Heo, J.; TEI-WEI KUO | ACM Symposium on Applied Computing | |||
181 | 2011 | Introduction to special section on Complex, intelligent and software intensive systems in pervasive computing environments | You, I.; Kuo, T.-W.; Xhafa, F.; TEI-WEI KUO | Computing and Informatics | |||
182 | 2011 | A version-based strategy for reliability enhancement of flash file systems | Hsu, P.-H.; Chang, Y.-H.; Huang, P.-C.; Kuo, T.-W.; Du, D.H.-C.; TEI-WEI KUO | Design Automation Conference | |||
183 | 2011 | A Run-Time Page Selection Methodology for Efficient Quality-Based Resuming. | Chang, Chi-Ju; Chang, Che-Wei; Yang, Chuan-Yue; Chang, Yuan-Hao; Pan, Chin-Chiang; TEI-WEI KUO | 17th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, RTCSA 2011, Toyama, Japan, August 28-31, 2011, Volume 1 | 3 | 0 | |
184 | 2011 | A driver-layer caching policy for removable storage devices | Chang, Y.-H.; Hsu, P.-Y.; Lu, Y.-F.; Kuo, T.-W.; TEI-WEI KUO | ACM Transactions on Storage | 8 | 3 | |
185 | 2011 | A flash-friendly B +-tree with endurance-awareness | Fang, H.-W.; Yeh, M.-Y.; Suei, P.-L.; TEI-WEI KUO | 9th IEEE Symposium on Embedded Systems for Real-Time Multimedia | 3 | 0 | |
186 | 2011 | A Cloud-Based Virtualized Execution Environment for Mobile Applications | Hung, Shih-Hao ; Kuo, Tei-Wei ; Shih, Chi-Sheng ; Shieh, Jeng-Peng; Lee, Chen-Pang; Chang, Che-Wei; Wei, Jie-Wen | ZTE Communications | |||
187 | 2011 | A QoS Guaranteed Cache Design for Environment Friendly Computing. | Lo, Shi-Wu; Huang, Wen-Yan; Qiu, Sheng-Feng; Lin, You-Ching; Lin, Kuo-Hung; Lin, Homn; TEI-WEI KUO | 2011 IEEE/ACM International Conference on Green Computing and Communications (GreenCom), Chengdu, China, August 4-5, 2011 | 0 | 0 | |
188 | 2011 | A version-based strategy for reliability enhancement of flash file systems. | Hsu, Pei-Han; Chang, Yuan-Hao; Huang, Po-Chun; Kuo, Tei-Wei; Du, David Hung-Chang; TEI-WEI KUO | Proceedings of the 48th Design Automation Conference, DAC 2011, San Diego, California, USA, June 5-10, 2011 | 6 | 0 | |
189 | 2011 | Special track on real time systems | Kuo, T.-W.; Martins, P.; Schoeberl, M.; TEI-WEI KUO | ACM Symposium on Applied Computing | |||
190 | 2011 | ICESS 2011: Welcome message from the conference chairs | Wang, G.; Buttazzo, G.; Kuo, T.-W.; Chen, Z.; Xu, M.; TEI-WEI KUO | Proc. 10th IEEE Int. Conf. on Trust, Security and Privacy in Computing and Communications, TrustCom 2011, 8th IEEE Int. Conf. on Embedded Software and Systems, ICESS 2011, 6th Int. Conf. on FCST 2011 | 0 | 0 | |
191 | 2010 | Guest editorial: Special section on real-time applications and tools design | Buttazzo, G.; TEI-WEI KUO | IEEE Transactions on Industrial Informatics | 1 | 1 | |
192 | 2010 | Protection against Buffer Overflow Attacks via Dynamic Binary Translation | Shin, Sung Y.; Gantenbein, Rex; Kuo, Tei-Wei ; Hong, Jiman; Chun-Chung Chen; Shih-Hao Hung; Chen-Pang Lee; SHIH-HAO HUNG | 0 | 0 | ||
193 | 2010 | Maximum-Residual Multicasting and Aggregating in wireless ad hoc networks | Hsiu, P.-C.; Wu, C.-H.; Kuo, T.-W.; TEI-WEI KUO | Wireless Networks | 1 | 1 | |
194 | 2010 | Energy-efficient real-time task scheduling with temperature-dependent leakage. | Yang, Chuan-Yue; Chen, Jian-Jia; Thiele, Lothar; Kuo, Tei-Wei; TEI-WEI KUO | Design, Automation and Test in Europe, DATE 2010, Dresden, Germany, March 8-12, 2010 | 0 | 0 | |
195 | 2010 | Data compression and query for large scale sensor data on COTS DBMS | Suei, P.-L.; Kuo, C.-W.; Luoh, R.-S.; CHI-SHENG SHIH ; TEI-WEI KUO | Proceedings of the 15th IEEE International Conference on Emerging Technologies and Factory Automation, ETFA 2010 | 3 | 0 | |
196 | 2010 | An adaptive flash translation layer for high-performance storage systems | Wu, C.-H.; Lin, H.-H.; TEI-WEI KUO | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 19 | 18 | |
197 | 2010 | Energy-efficient real-time scheduling of multimedia tasks on multi-core processors. | Wei, Yi-Hung; Yang, Chuan-Yue; Kuo, Tei-Wei; Hung, Shih-Hao; TEI-WEI KUO ; SHIH-HAO HUNG | Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010 | 22 | 0 | |
198 | 2010 | Guest editorial: Special issue on EUC 2007 | Chang, L.-P.; TEI-WEI KUO | Journal of Signal Processing Systems | 0 | 0 | |
199 | 2010 | Support of Android lab modules for embedded system curriculum | Wang, M.-T.; Huang, P.-C.; Lee, J.-K.; Lai, S.-H.; Chang, C.-F.; Liu, C.-W.; TEI-WEI KUO ; JYH-SHING JANG | Proceedings - 2010 Workshop on Embedded Systems Education, WESE 2010 | 8 | 0 | |
200 | 2010 | 2010 5th International Conference on Embedded and Multimedia Computing, EMC-10 - Proceedings: Message from the General Chairs | Leung, V.; Barria, J.A.; Yeo, S.-S.; TEI-WEI KUO | 2010 5th International Conference on Embedded and Multimedia Computing, EMC-10 - Proceedings | 0 | 0 | |
201 | 2010 | Energy-Efficient Mapping Technique for Virtual Cores. | Lin, Yu-Chia; Yang, Chuan-Yue; Chang, Che-Wei; Chang, Yuan-Hao; Kuo, Tei-Wei; TEI-WEI KUO ; CHI-SHENG SHIH | 22nd Euromicro Conference on Real-Time Systems, ECRTS 2010, Brussels, Belgium, July 6-9, 2010 | 3 | 0 | |
202 | 2010 | A reliable MTD design for MLC flash-memory storage systems | Chang, Y.-H.; TEI-WEI KUO | 10th ACM International Conference on Compilers, Architecture and Synthesis for Embedded Systems | 24 | 0 | |
203 | 2010 | Improving flash wear-leveling by proactively moving static data | Chang, Y.-H.; Hsieh, J.-W.; TEI-WEI KUO | IEEE Transactions on Computers | 92 | 68 | |
204 | 2010 | Preface: A special issue for the operating systems | Shin, S.Y.; Hong, J.; Kuo, T.-W.; TEI-WEI KUO | Journal of Information Science and Engineering | |||
205 | 2010 | Energy-efficient real-time task scheduling with temperature-dependent leakage | Yang, C.-Y.; Chen, J.-J.; Thiele, L.; Kuo, T.-W.; TEI-WEI KUO | Design, Automation and Test in Europe, DATE | |||
206 | 2010 | Energy-efficient task synchronization for real-time systems | Chen, Y.-S.; Yang, C.-Y.; Kuo, T.-W.; TEI-WEI KUO | IEEE Transactions on Industrial Informatics | 15 | 12 | |
207 | 2010 | An Efficient FTL Design for Multi-chipped Solid-State Drives. | Chang, Yuan-Hao; Lu, Wei-Lun; Huang, Po-Chun; Lee, Lue-Jane; TEI-WEI KUO | 16th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, RTCSA 2010, Macau, SAR, China, 23-25 August 2010 | 8 | 0 | |
208 | 2010 | Processing element allocation and dynamic scheduling codesign for multi-function SoCs | Chen, Ya-Shu; Shih, Chi-Sheng ; Kuo, Tei-Wei; TEI-WEI KUO | Real-Time Systems | 2 | 2 | |
209 | 2010 | A strategy to emulate NOR flash with NAND flash | Chang, Y.-H.; Hsieh, J.-W.; Lin, J.-H.; Kuo, T.-W.; TEI-WEI KUO | ACM Transactions on Storage | 8 | 8 | |
210 | 2009 | An approximation scheme for energy-efficient scheduling of real-time tasks in heterogeneous multiprocessor systems | Yang, C.-Y.; Chen, J.-J.; Kuo, T.-W.; Thiele, L.; TEI-WEI KUO | Design, Automation and Test in Europe, DATE | |||
211 | 2009 | A Maximum-Residual Multicast Protocol for Large-Scale Mobile Ad Hoc Networks | Hsiu, Pi-Cheng; Kuo, Tei-Wei | IEEE Transactions on Mobile Computing | 20 | 13 | |
212 | 2009 | A DVS-assisted hard real-time I/O device scheduling algorithm | Chu, E.T.-H.; Huang, T.-Y.; Tsai, C.-H.; Chen, J.-J.; Kuo, T.-W.; TEI-WEI KUO | Real-Time Systems | 2 | 2 | |
213 | 2009 | Energy-efficiency for multiframe real-time tasks on a dynamic voltage scaling processor | Yang, C.-Y.; Chen, J.-J.; TEI-WEI KUO | 7th IEEE/ACM International Conference on Hardware/Software-Co-Design and System Synthesis | 8 | 0 | |
214 | 2009 | QoS for storage subsystems using IEEE-1394 | Huang, Chih-Yuan; Kuo, Tei-Wei; Pang, Ai-Chun ; TEI-WEI KUO | ACM Transactions on Storage | 6 | 0 | |
215 | 2009 | Guest editorial: Special issue on real-time systems - Part II | Buttazzo, G.; TEI-WEI KUO | IEEE Transactions on Industrial Informatics | 2 | 3 | |
216 | 2009 | A Reconfigurable Virtual Storage Device. | Hsiao, Su-Fang; Hsiu, Pi-Cheng; TEI-WEI KUO | 2009 IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, ISORC 2009, Tokyo, Japan, 17-20 March 2009 | 2 | 0 | |
217 | 2009 | EMWF for Flexible Automation and Assistive Devices. | Chou, Ting-Shuo; Chang, Su-Ying; Lu, Yung-Feng; Wang, Yu-Chung; Ouyang, M. K.; Shih, Chi-Sheng; Kuo, Tei-Wei; Hu, J. S.; TEI-WEI KUO ; CHI-SHENG SHIH | 15th IEEE Real-Time and Embedded Technology and Applications Symposium, RTAS 2009, San Francisco, CA, USA, 13-16 April 2009 | 7 | 0 | |
218 | 2009 | A file-system-aware FTL design for flash-memory storage systems. | Wu, Po-Liang; Chang, Yuan-Hao; Kuo, Tei-Wei; TEI-WEI KUO | Design, Automation and Test in Europe, DATE 2009, Nice, France, April 20-24, 2009 | 0 | 0 | |
219 | 2009 | Multi-layer bus optimization for real-time task scheduling with chain-based precedence constraints | Hsiu, P.-C.; Lee, D.-N.; TEI-WEI KUO | Real-Time Systems Symposium | 2 | 0 | |
220 | 2009 | An approximation scheme for energy-efficient scheduling of real-time tasks in heterogeneous multiprocessor systems. | Yang, Chuan-Yue; Chen, Jian-Jia; Kuo, Tei-Wei; Thiele, Lothar; TEI-WEI KUO | Design, Automation and Test in Europe, DATE 2009, Nice, France, April 20-24, 2009 | 0 | 0 | |
221 | 2009 | An anomaly prevention approach for real-time task scheduling | Chen, Ya-Shu; Chang, Li-Pin; Mok, Aloysius K.; TEI-WEI KUO | Journal of Systems and Software | 6 | 3 | |
222 | 2009 | A commitment-based management strategy for the performance and reliability enhancement of flash-memory storage systems. | Chang, Yuan-Hao; Kuo, Tei-Wei; TEI-WEI KUO | Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009 | 41 | 0 | |
223 | 2009 | A set-based mapping strategy for flash-memory reliability enhancement | Chu, Y.-S.; Hsieh, J.-W.; Chang, Y.-H.; Kuo, T.-W.; TEI-WEI KUO | Design, Automation and Test in Europe, DATE | |||
224 | 2009 | Energy Reduction Techniques for Systems with non-DVS Components. | Yang, Chuan-Yue; Chen, Jian-Jia; Kuo, Tei-Wei; TEI-WEI KUO | Proceedings of 12th IEEE International Conference on Emerging Technologies and Factory Automation, ETFA 2009, September 22-25, 2008, Palma de Mallorca, Spain | 6 | 0 | |
225 | 2009 | The speech quality analysis of push-to-talk services. | Tsai, Kun-Yi; Lu, Yung-Feng; Pang, Ai-Chun ; TEI-WEI KUO ; AI-CHUN PANG | 2009 IEEE Wireless Communications and Networking Conference, WCNC 2009, Proceedings, Budapest, Hungary, 5-8 April 2009 | 2 | 0 | |
226 | 2009 | A set-based mapping strategy for flash-memory reliability enhancement. | Chu, Yuan-Sheng; Hsieh, Jen-Wei; Chang, Yuan-Hao; Kuo, Tei-Wei; TEI-WEI KUO | Design, Automation and Test in Europe, DATE 2009, Nice, France, April 20-24, 2009 | 0 | 0 | |
227 | 2009 | Managing imprecise worst case execution times on DVFS platforms | Berten, V.; Chang, C.-J.; TEI-WEI KUO | 15th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications | 4 | 0 | |
228 | 2009 | A commitment-based management strategy for the performance and reliability enhancement of flash-memory storage systems | Chang, Y.-H.; Kuo, T.-W.; TEI-WEI KUO | Design Automation Conference | |||
229 | 2009 | A file-system-aware FTL design for flash-memory storage systems | Wu, P.-L.; Chang, Y.-H.; Kuo, T.-W.; TEI-WEI KUO | Design, Automation and Test in Europe, DATE | |||
230 | 2008 | 省電與性能最佳化技術:從應用面至系統面之探討-總計畫 (新制多年期第2年) | 郭大維 | ||||
231 | 2008 | 省電與性能最佳化技術:從應用面至系統面之探討-子計畫一:動態電源調整之即時程序排程及合成 (新制多年期第2年) | 郭大維 | ||||
232 | 2008 | 數位家庭:網路、平台與應用-子計畫一:家庭網路與平台之省電設計(3/3) | 郭大維 | ||||
233 | 2008 | The Behavior Analysis of Flash-Memory Storage Systems | Huang, Po-Chun; Chang, Yuan-Hao; Kuo, Tei-Wei ; Hsieh, Jen-Wei; TEI-WEI KUO | IEEE 2008 International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC) | 23 | 0 | |
234 | 2008 | Energy-Efficient Real-Time Co-scheduling of Multimedia DSP Jobs | Chen, Chien-Wei; Yang, Chuan-Yue; Kuo, Tei-Wei ; TEI-WEI KUO | IEEE International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing | 3 | 0 | |
235 | 2008 | Configurable flash-memory management: Performance versus overheads | Hsieh, Jen-Wei; Tsai, Yi-Lin; Lee, Tzao-Lin; TEI-WEI KUO | IEEE Transactions on Computers | 16 | 9 | |
236 | 2008 | Discrete Frequency Selection of Frame-Based Stochastic Real-Time Tasks | Berten, Vandy; Chang, Chi-Ju; TEI-WEI KUO | Proceedings - 14th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, RTCSA 2008 | 4 | 0 | |
237 | 2008 | Special Issues in Flash | Kuo, Tei-Wei ; Chang, Yuan-Hao; Huang, Po-Chun; Chang, Che-Wei | 2008 IEEE/ACM International Conference on Computer-Aided Design | 0 | 0 | |
238 | 2008 | IEEE Transactions on Industrial Informatics: Guest editorial | Buttazzo, G.; TEI-WEI KUO | IEEE Transactions on Industrial Informatics | 0 | 0 | |
239 | 2008 | Approximation algorithms for multiprocessor energy-efficient scheduling of periodic real-time tasks with uncertain task execution time | Chen, Jian-Jia; Yang, Chuan-Yue; Lu, Hsueh-I ; TEI-WEI KUO ; HSUEH-I LU | IEEE Real-Time and Embedded Technology and Applications Symposium | 16 | 0 | |
240 | 2008 | Special track on Adaptive Techniques in Operating Systems: editorial message. | Kuo, Tei-Wei; TEI-WEI KUO | Proceedings of the 2008 ACM Symposium on Applied Computing (SAC), Fortaleza, Ceara, Brazil, March 16-20, 2008 | 0 | 0 | |
241 | 2008 | A Signature-based Grid Index Design for RFID Main-Memory Databases. | Liao, Rong-Jhang; Suei, Pei-Lun; Lu, Yung-Feng; Kuo, Tei-Wei; TEI-WEI KUO | 2008 IEEE/IPIP International Conference on Embedded and Ubiquitous Computing (EUC 2008), Shanghai, China, December 17-20, 2008, Volume I | 3 | 0 | |
242 | 2008 | Multiprocessor frequency locking for real-time task synchronization | Chen, Y.-S.; Chang, L.-P.; TEI-WEI KUO | ACM Symposium on Applied Computing | 3 | 0 | |
243 | 2008 | The minimization of hardware size in reconfigurable embedded platforms | Perng, N.-C.; Chen, J.-J.; TEI-WEI KUO | ACM Symposium on Applied Computing | 0 | 0 | |
244 | 2008 | Component model and architecture of smart devices for elderly | Chen, T.Y.; Tsai, P.H.; Chou, T.S.; Liu, J.W.S.; Thamizhmani, A.; TEI-WEI KUO ; CHI-SHENG SHIH | 7th IEEE/IFIP Working Conference on Software Architecture, WICSA 2008 | 5 | 0 | |
245 | 2008 | An Integrated Deployment Tool for ZigBee-Based Wireless Sensor Networks. | Huang, Yu-Kai; Hsiu, Pi-Cheng; Chu, Wei-Ni; Hung, Kuan-Chang; AI-CHUN PANG ; TEI-WEI KUO ; Di, Min; Fang, Hua-Wei | 2008 IEEE/IPIP International Conference on Embedded and Ubiquitous Computing (EUC 2008), Shanghai, China, December 17-20, 2008, Volume I | 10 | 0 | |
246 | 2008 | Special track on embedded systems: Applications, solutions, and techniques | Bechini, A.; Prete, C.A.; Altenbernd, P.; Bartolini, S.; Bertin, V.; Buttazzo, G.; Cardoso, J.M.P.; Dean, A.; Engels, M.; Foglia, P.; Franke, B.; Giorgi, R.; Hansson, J.; Jha, N.K.; Krall, A.; Kuo, T.-W.; L?deczi, ?.; Lim, S.-S.; Memik, G.; Simeon, J.; Sheynin, Y.; Sips, H.J.; Talpin, J.-P.; Tripakis, S.; Velev, M.; TEI-WEI KUO et al. ; TEI-WEI KUO | ACM Symposium on Applied Computing | 0 | 0 | |
247 | 2008 | Message from the conference chairs | Kuo, T.-W.; Goddard, S.; TEI-WEI KUO | Real-Time Systems Symposium | 0 | 0 | |
248 | 2008 | A Secure Routing Protocol for Wireless Embedded Networks | Liao, Cheng-Fu; Lu, Yung-Feng; Pang, Ai-Chun ; AI-CHUN PANG ; TEI-WEI KUO | IEEE International Conference on Embedded and Real-Time Computing Systems and Applications | 6 | 0 | |
249 | 2007 | 數位家庭:網路、平台與應用-子計畫一:家庭網路與平台之省電設計(2/3) | 郭大維 | ||||
250 | 2007 | 省電與性能最佳化技術:從應用面至系統面之探討-總計畫 (新制多年期第1年) | 郭大維 | ||||
251 | 2007 | 省電與性能最佳化技術:從應用面至系統面之探討-子計畫一:動態電源調整之即時程序排程及合成 (新制多年期第1年) | 郭大維 | ||||
252 | 2007 | Search-oriented deployment strategies for wireless sensor networks | Chang, J.-J.; Hsiu, P.-C.; TEI-WEI KUO | 10th IEEE International Symposium on Object and Component-Oriented Real-Time Distributed Computing | 26 | 0 | |
253 | 2007 | QoS Support and an Analytic Study for USB 1.x/2.0 Devices. | Kuo, Tei-Wei; Huang, Chih-Yuan; Lo, Shi-Wu; Pang, Ai-Chun; TEI-WEI KUO | Handbook of Real-Time and Embedded Systems. | |||
254 | 2007 | Procrastination determination for periodic real-time tasks in leakage-aware dynamic voltage scaling systems | Chen, J.-J.; TEI-WEI KUO | IEEE/ACM International Conference on Computer-Aided Design | 118 | 0 | |
255 | 2007 | Scheduling for time-division based shared channel allocation for UMTS | Gan, Chai-Hien; Perng, Nei-Chiung; Hsu, Ching-Chi; TEI-WEI KUO ; PHONE LIN | Wireless Networks | 7 | 3 | |
256 | 2007 | Endurance enhancement of flash-memory storage systems: An efficient static wear leveling design | Chang, Y.-H.; Hsieh, J.-W.; TEI-WEI KUO | Proceedings - Design Automation Conference | 201 | 0 | |
257 | 2007 | Dynamic Task Scheduling And Processing Element Allocation For Multi- Function SOCs | Chen, Ya-Shu; Shih, Chi-Sheng ; Kuo, Tei-Wei | 13th IEEE Real Time and Embedded Technology and Applications Symposium | |||
258 | 2007 | Energy-Efficiency on a Variable-Bitrate Device. | Lee, Yung-Hen; Chen, Jian-Jia; Kuo, Tei-Wei; TEI-WEI KUO | Emerging Directions in Embedded and Ubiquitous Computing, EUC 2007 Workshops: TRUST, WSOC, NCUS, UUWSN, USN, ESO, and SECUBIQ, Taipei, Taiwan, December 17-20, 2007, Proceedings | 0 | 0 | |
259 | 2007 | RTSS 2007: Preface | Abdelzaher, T.; Fohler, G.; Kuo, T.-W.; TEI-WEI KUO | Real-Time Systems Symposium | 132 | 0 | |
260 | 2007 | Flow Time Minimization under Energy Constraints | Chen, Jian-Jia; Iwama, Kazuo; Kuo, Tei-Wei ; HSUEH-I LU ; TEI-WEI KUO | Asia and South Pacific Design Automation Conference | 0 | 0 | |
261 | 2007 | On the Minimization of the Instantaneous Temperature for Periodic Real-Time Tasks | Chen, Jian-Jia; Hung, Chia-Mei; TEI-WEI KUO | IEEE Real-Time and Embedded Technology and Applications Symposium | 48 | 0 | |
262 | 2007 | Preemption control for energy-efficient task scheduling in systems with a DVS processor and non-DVS devices | Yang, C.-Y.; Chen, J.-J.; TEI-WEI KUO | 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications | 15 | 0 | |
263 | 2007 | Energy-efficiency on a variable-bitrate device | Lee, Y.-H.; Chen, J.-J.; Kuo, T.-W.; TEI-WEI KUO | Lecture Notes in Computer Science | |||
264 | 2007 | Time-division-based cyclic scheduling for UMTS high-speed downlink shared-channels | Gan, Chai-Hien; Perng, Nei-Chiung; TEI-WEI KUO ; PHONE LIN | IEEE Transactions on Vehicular Technology | 3 | 3 | |
265 | 2007 | Endurance Enhancement of Flash-Memory Storage, Systems: An Efficient Static Wear Leveling Design. | Chang, Yuan-Hao; Hsieh, Jen-Wei; Kuo, Tei-Wei; TEI-WEI KUO | Proceedings of the 44th Design Automation Conference, DAC 2007, San Diego, CA, USA, June 4-8, 2007 | 0 | 0 | |
266 | 2007 | Special issue on operating systems and adaptive applications | Shin, S.Y.; Kuo, T.-W.; TEI-WEI KUO | Journal of Information Science and Engineering | |||
267 | 2007 | A NOR Emulation Strategy over NAND Flash Memory. | Lin, Jian-Hong; Chang, Yuan-Hao; Hsieh, Jen-Wei; Kuo, Tei-Wei; TEI-WEI KUO | 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), 21-24 August 2007, Daegu, Korea | 16 | 0 | |
268 | 2007 | QoS support and an analytic study for USB 1.X/2.0 devices | Huang, C.-Y.; Lo, S.-W.; Kuo, T.-W.; Pang, A.-C.; TEI-WEI KUO | Handbook of Real-Time and Embedded Systems | |||
269 | 2007 | RTSS 2007: Preface | Abdelzaher, T.; Fohler, G.; Kuo, T.-W.; TEI-WEI KUO | Proceedings - Real-Time Systems Symposium | 0 | 0 | |
270 | 2007 | System-level energy-efficiency for real-time tasks | Yang, C.-Y.; Chen, J.-J.; Hung, C.-M.; TEI-WEI KUO | 10th IEEE International Symposium on Object and Component-Oriented Real-Time Distributed Computing | 14 | 0 | |
271 | 2007 | An Efficient B-Tree Layer Implementation for Flash-Memory Storage Systems | Wu, Chin-Hsien; TEI-WEI KUO ; Chang, Li Ping | ACM Transactions on Embedded Computing Systems | 127 | 85 | |
272 | 2007 | 3D Video Applications and Intelligent Video Surveillance Camera and its VLSI Design. | Chien, Shao-Yi; Shih, Chi-Sheng; Ku, Mong-Kai; Yang, Chia-Lin; Chang, Yao-Wen; Kuo, Tei-Wei; CHIA-LIN YANG ; CHI-SHENG SHIH ; TEI-WEI KUO ; LIANG-GEE CHEN ; YAO-WEN CHANG ; SHAO-YI CHIEN | Proceedings of the 2007 IEEE International Conference on Multimedia and Expo, ICME 2007, July 2-5, 2007, Beijing, China | 0 | 0 | |
273 | 2007 | FL-PCP: Frequency Locking for Energy-Efficient Real-Time Task Synchronization. | Chen, Ya-Shu; Yang, Chuan-Yue; TEI-WEI KUO | 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), 21-24 August 2007, Daegu, Korea | 5 | 0 | |
274 | 2007 | Dynamic task scheduling and processing element allocation for multi-function SoCs | Chen, Y.-S.; CHI-SHENG SHIH ; TEI-WEI KUO | Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium, RTAS | 10 | 0 | |
275 | 2007 | Smart pantries for homes | Hsu, C.F.; Liao, H.Y.M.; Hsiu, P.C.; Lin, Y.S.; Shih, C.S.; Kuo, T.W.; TEI-WEI KUO ; CHI-SHENG SHIH | IEEE International Conference on Systems, Man and Cybernetics | 12 | 0 | |
276 | 2007 | 3D Video Applications and Intelligent Video Surveillance Camera and its VLSI Design | Chien, hao-Yi; Shih, Chi-Sheng ; Ku, Mong-Kai; Yang, Chia-Lin ; Chang, Yao-Wen ; Kuo, Tei-Wei ; Chen, Liang-Gee | 2007 IEEE International Conference on Multimedia and Expo, ICME 2007 | 0 | ||
277 | 2007 | Energy-efficient Real-time Task Scheduling in Multiprocessor DVS Systems | Chen, Jian-Jia; Yang, Chuan-Yue; Kuo, Tei-Wei ; CHI-SHENG SHIH ; TEI-WEI KUO | Asia and South Pacific Design Automation Conference | 40 | 0 | |
278 | 2007 | Energy-efficient and performance-enhanced disks using flash-memory cache. | Hsieh, Jen-Wei; Kuo, Tei-Wei; Wu, Po-Liang; TEI-WEI KUO | Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007 | 17 | 0 | |
279 | 2007 | Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics): Preface | Kuo, T.-W.; Sha, E.; Yang, L.T.; Guo, M.; Shao, Z.; TEI-WEI KUO | Lecture Notes in Computer Science | |||
280 | 2007 | SoC System Design Program for Computer Science Majors | Shih, Chi-Sheng ; Yang, Chia-Lin ; Hung, Shih-Hao ; Hsueh, Chih-Wen ; Chen, Chuen-Liang; Kuo, Tei-Wei | 2007 Workshop on Embedded Systems Education | |||
281 | 2007 | Energy-Efficient Real-Time Task Scheduling with Task Rejection | Chen, Jian-Jia; Kuo, Tei-Wei ; Yang, Chia-Lin ; King, Ku-Jei | Design, Automation & Test in Europe Conference & Exhibition | 12 | 0 | |
282 | 2007 | Real-Time Task Replication for Fault Tolerance in Identical Multiprocessor Systems | Chen, Jian-Jia; Kuo, Tei-Wei ; Yang, Chuan-Yue; TEI-WEI KUO | IEEE Real-Time and Embedded Technology and Applications Symposium | 21 | 0 | |
283 | 2007 | Embedded and Ubiquitous Computing, International Conference, EUC 2007, Taipei, Taiwan, December 17-20, 2007, Proceedings | TEI-WEI KUO | ||||
284 | 2006 | Another real-time operating system and unified MAC protocol for home controlling and monitoring. | Yang, Chuan-Yue; Huang, Yu-Kai; Perng, Nei-Chiung; Chen, Jian-Jia; Lee, Yung-Hen; Hung, Chia-Mei; Hsu, Heng-Ruey; Huang, Szu-Wei; Tseng, Hsueh-Wen; Pang, Ai-Chun; AI-CHUN PANG ; TEI-WEI KUO | The Fourth IEEE Workshop on Software Technologies for Future Embedded and Ubiquitous Systems and the Second International Workshop on Collaborative Computing, Integration, and Assurance, SEUS 2006 / WCCIA 2006, Gyeongju, South Korea, April 27-28, 2006 | 0 | 0 | |
285 | 2006 | A Space-Efficient Caching Mechanism for Flash-Memory Address Translation. | Wu, Chin-Hsien; Kuo, Tei-Wei; CHIA-LIN YANG ; TEI-WEI KUO | Ninth IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2006), 24-26 April 2006, Gyeongju, Korea | 0 | 0 | |
286 | 2006 | Leakage-Aware Energy-Efficient Scheduling of Periodic Real-Time Tasks in Multiprocessor Systems | Chen, Jian-Jia; Kuo, Tei-Wei | ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems | |||
287 | 2006 | Dynamic Load Balancing for Multiple Processors | Kuo, Chin-Fu; Yang, Tung-Wei; Kuo, Tei-Wei | IEEE 12th International Conference on Real-Time and Embedded Computing Systems and Applications | |||
288 | 2006 | Preface: A special issue for the software engineering and software security | Shin, S.Y.; Gruner, S.; Kuo, T.-W.; TEI-WEI KUO | Journal of Information Science and Engineering | |||
289 | 2006 | Walker's buddy: An ultrasonic dangerous terrain detection system | Hsu, Y.; Hsiao, S.-F.; Chiang, C.-E.; Chien, Y.-H.; Tseng, H.-W.; TEI-WEI KUO ; AI-CHUN PANG | Conference Proceedings - IEEE International Conference on Systems, Man and Cybernetics | 4 | 0 | |
290 | 2006 | Procrastination for leakage-aware rate-monotonic scheduling on a dynamic voltage scaling processor. | Chen, Jian-Jia; Kuo, Tei-Wei; TEI-WEI KUO | Proceedings of the 2006 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'06), Ottawa, Ontario, Canada, June 14-16, 2006 | 37 | 0 | |
291 | 2006 | QoS Support for IEEE-1394 Requests | Huang, Chih-Yuan; Kuo, Tei-Wei ; Pang, Ai-Chun | 2006 ACM symposium on Applied computing | |||
292 | 2006 | Configurability of performance and overheads in flash management. | Kuo, Tei-Wei; Hsieh, Jen-Wei; Chang, Li-Pin; Chang, Yuan-Hao; TEI-WEI KUO | Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006 | 0 | 0 | |
293 | 2006 | An Update on the Embedded Software Consortium of Taiwan | Huang, Tai-Yi; King, Chung-Ta; Hung, Shih-Hao ; Kuo, Tei-Wei | 2006 Workshop on Embedded System Education | |||
294 | 2006 | Efficient initialization and crash recovery for log-based file systems over flash memory. | Wu, Chin-Hsien; Kuo, Tei-Wei; Chang, Li-Pin; TEI-WEI KUO | Proceedings of the 2006 ACM Symposium on Applied Computing (SAC), Dijon, France, April 23-27, 2006 | 15 | 0 | |
295 | 2006 | Multiprocessor synthesis for periodic hard real-time tasks under a given energy constraint. | Hsu, Heng-Ruey; Chen, Jian-Jia; Kuo, Tei-Wei; TEI-WEI KUO | Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006 | 0 | 0 | |
296 | 2006 | Component-Oriented Radars with Probabilistic Timing Guarantees | Kuo, Chin-Fu; Chen, Ya-Shu; Kuo, Tei-Wei ; Lin, Phone ; Chang, Cheng | IEEE Transactions on Parallel and Distributed Systems | 0 | 0 | |
297 | 2006 | Editorial message: special track on operating systems and adaptive applications. | Hong, Jiman; Kuo, Tei-Wei; TEI-WEI KUO | Proceedings of the 2006 ACM Symposium on Applied Computing (SAC), Dijon, France, April 23-27, 2006 | 0 | 0 | |
298 | 2006 | Configurable NAND Flash Translation Layer. | Tsai, Yi-Lin; Hsieh, Jen-Wei; Kuo, Tei-Wei; TEI-WEI KUO | IEEE International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing (SUTC 2006), 5-7 June 2006, Taichung, Taiwan | 0 | 0 | |
299 | 2006 | Dynamic Load Balancing for Multiple Processors. | Kuo, Chin-Fu; Yang, Tung-Wei; Kuo, Tei-Wei; TEI-WEI KUO | 12th IEEE Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2006), 16-18 August 2006, Sydney, Australia | 0 | 0 | |
300 | 2006 | Leakage-Aware Energy-Efficient Scheduling of Real-Time Tasks in Multiprocessor Systems | Chen, Jian-Jia; Hsu, Heng-Ruey; TEI-WEI KUO | IEEE 12th Real-Time and Embedded Technology and Applications Symposium | 122 | 0 | |
301 | 2006 | Slack Reclamation for Real-Time Task Scheduling over Dynamic Voltage Scaling Multiprocessors. | Chen, Jian-Jia; Yang, Chuan-Yue; Kuo, Tei-Wei; TEI-WEI KUO | IEEE International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing (SUTC 2006), 5-7 June 2006, Taichung, Taiwan | 0 | 0 | |
302 | 2006 | Energy-Efficient Scheduling on Multi-Context FPGA's | Perng, Nei-Chiung; Chen, Jian-Jia; Yang, Chuan-Yue; Kuo, Tei-Wei | IEEE International Symposium on Circuits and Systems | |||
303 | 2006 | Procrastination for leakage-aware rate-monotonic scheduling on a dynamic voltage scaling processor | Chen, J.-J.; Kuo, T.-W.; TEI-WEI KUO | ACM SIGPLAN Notices | 23 | 34 | |
304 | 2006 | Resource Allocation Framework for Distributed Real-Time End-To-End Tasks | Kuo, Chin-Fu; CHI-SHENG SHIH ; TEI-WEI KUO | 12th International Conference on Parallel and Distributed Systems | 2 | 0 | |
305 | 2006 | AGA: Adaptive GTS Allocation with Low Latency and Fairness Considerations for IEEE 802.15.4 | Huang, Yu-Kai; AI-CHUN PANG ; TEI-WEI KUO | IEEE International Conference on Communications | 19 | 0 | |
306 | 2006 | Configurable NAND Flash Translation Layer | Tsai, Yi-Lin; Hsieh, Jen-Wei; TEI-WEI KUO | Proceedings - IEEE International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing | 8 | 0 | |
307 | 2006 | An Adaptive Two-Level Management for the Flash Translation Layer in Embedded Systems | Wu, Chin-Hsien; Kuo, Tei-Wei | IEEE/ACM International Conference on Computer-Aided Design | 128 | 0 | |
308 | 2006 | Slack reclamation for real-time task scheduling over dynamic voltage scaling multiprocessors | Chen, J.-J.; Yang, C.-Y.; Kuo, T.-W.; TEI-WEI KUO | IEEE International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing | 28 | 0 | |
309 | 2006 | Multiprocessor Synthesis for Periodic Hard Real-Time Tasks under a Given Energy Constraint | Chen, Jian-Jia; Hsu, Heng-Ruey; Kuo, Tei-Wei | ACM/IEEE Design, Automation, and Test in Europe | |||
310 | 2006 | Real-time Linux with budget-based resource reservation | Perng, N.-C.; Liu, C.-S.; Kuo, T.-W.; TEI-WEI KUO | Journal of Information Science and Engineering | |||
311 | 2006 | Energy-Efficient Real-Time Task Scheduling for a DVS System with a Non-DVS Processing Element | Hung, Chia-Mei; Chen, Jian-Jia; TEI-WEI KUO | IEEE 27th Real-Time Systems Symposium | 57 | 0 | |
312 | 2006 | Threat-Based Configuration Architecture for Security Gateways | Kuo, Chin-Fu; Chen, Chi-Ying; CHI-SHENG SHIH ; TEI-WEI KUO | 2006 IEEE International Conference on Networks | 0 | 0 | |
313 | 2006 | Efficient Identification of Hot Data for Flash Memory Storage Systems | Hsieh, Jen-Wei; Kuo, Tei-Wei ; Chang, Li-Pin | ACM Transactions on Storage | 170 | 0 | |
314 | 2006 | Efficient initialization and crash recovery for log-based file systems over flash memory | Wu, C.-H.; Kuo, T.-W.; Chang, L.-P.; TEI-WEI KUO | ACM Symposium on Applied Computing | |||
315 | 2006 | The Design of Efficient Initialization and Crash Recovery for Log-based File Systems over Flash Memory | Wu, Chin-Hsien; Kuo, Tei-Wei ; Chang, Li Pin | ACM Transactions on Storage | 31 | 0 | |
316 | 2006 | Allocation cost minimization for periodic hard real-time tasks in energy-constrained DVS systems. | Chen, Jian-Jia; Kuo, Tei-Wei; TEI-WEI KUO | 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006 | 0 | 0 | |
317 | 2006 | Configurability of Performance and Overheads in Flash Management | Kuo, Tei-Wei ; Hsieh, Jen-Wei; Chang, Li-Pin; Chang, Yuan-Hao | Asia and South Pacific Design Automation Conference | |||
318 | 2006 | A Space-Efficient Caching Mechanism for Flash-Memory Address Translation | Wu, Chin-Hsien; Kuo, Tei-Wei ; Yang, Chia-Lin | IEEE 9th International Symposium on Object and component-oriented Real-time distributed Computing | 9 | 0 | |
319 | 2006 | Allocation Cost Minimization for Periodic Hard Real-Time Tasks in Energy-Constrained DVS Systems | Chen, Jian-Jia; Kuo, Tei-Wei | IEEE/ACM 2006 International Conference on Computer-Aided Design | |||
320 | 2006 | QoS support for IEEE-1394 requests. | Huang, Chih-Yuan; Kuo, Tei-Wei; AI-CHUN PANG ; TEI-WEI KUO | Proceedings of the 2006 ACM Symposium on Applied Computing (SAC), Dijon, France, April 23-27, 2006 | 1 | 0 | |
321 | 2006 | A faster exact schedulability analysis for fixed-priority scheduling | Hsieh, Jen-Wei; Shih, Wei-Kuan; TEI-WEI KUO ; WAN-CHEN LU | Journal of Systems and Software | 7 | 7 | |
322 | 2006 | Editorial message: Special track on operating systems and adaptive applications | Hong, J.; Kuo, T.-W.; TEI-WEI KUO | ACM Symposium on Applied Computing | |||
323 | 2006 | Energy-efficient scheduling on multi-context FPGAs. | Perng, Nei-Chiung; Chen, Jian-Jia; Yang, Chuan-Yue; Kuo, Tei-Wei; TEI-WEI KUO | International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece | 0 | 0 | |
324 | 2006 | An adaptive two-level management for the flash translation layer in embedded systems. | Wu, Chin-Hsien; Kuo, Tei-Wei; TEI-WEI KUO | 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006 | 0 | 0 | |
325 | 2006 | Procrastination for leakage-aware rate-monotonic scheduling on a dynamic voltage scaling processor | Chen, J.-J.; Kuo, T.-W.; TEI-WEI KUO | ACM SIGPLAN Conference on Languages, Compilers, and Tools for Embedded Systems | |||
326 | 2005 | Multi-Disk Scheduling for High-Performance RAID-0 Devices | Lo, Shi Wu; Kuo, Tei Wei ; Lam, Kam yiu | Journal of Systems and Software | |||
327 | 2005 | (1+ε) Approximation clock rate assignment for periodic real-time tasks on a voltage-scaling processor | Chen, J.-J.; Kuo, T.-W.; Shih, C.-S.; TEI-WEI KUO | 5th ACM International Conference on Embedded Software, EMSOFT 2005 | |||
328 | 2005 | A multi-granularity energy profiling approach and a quantitative study of a Web browser | Chuang, Chen-Ting; Kuo, Chin-Fu; TEI-WEI KUO ; AI-CHUN PANG | Proceedings - International Workshop on Object-Oriented Real-Time Dependable Systems, WORDS | 1 | 0 | |
329 | 2005 | Realizing Cleint and Server Mobility for WEB Applications | Tsai, Yi-Hua; Chen, Jian-Jia; Kuo, Tei-Wei ; Shih, Chi-Sheng | Mobility, Agents, and Mobile Services Workshop | |||
330 | 2005 | Multiprocessor energy-efficient scheduling for real-time tasks with different power characteristics | Chen, J.-J.; TEI-WEI KUO | International Conference on Parallel Processing | 86 | 0 | |
331 | 2005 | On the Minimization of Buffer Memory for Hardware/Software Co-Synthesis Processes | Perng, Nei-Chiung; Lien, Chen-Min; Kuo, Tei-Wei ; Shih, Chi-Sheng | 16th VLSI Design/CAD Symposium | |||
332 | 2005 | Design and Implementation of SIP Security. | Chang, Chia-Chen; Lu, Yung-Feng; Pang, Ai-Chun; Kuo, Tei-Wei; TEI-WEI KUO | Information Networking, Convergence in Broadband and Mobile Networking, International Conference, ICOIN 2005, Jeju Island, Korea, January 31- February 2, 2005, Proceedings | 10 | 0 | |
333 | 2005 | Interference Analysis of Multiple Critical Paths | Chen, Ya-Shu; Perng, Nei-Chiung; Shih, Chi-Sheng ; Kuo, Tei-Wei | ASPICES 2005 | |||
334 | 2005 | Reconfigurable platform for content science research | LIANG-GEE CHEN ; TEI-WEI KUO ; YAO-WEN CHANG ; SHAO-YI CHIEN ; CHIA-LIN YANG ; CHI-SHENG SHIH ; Ku, Mong-Kai | 11th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications | 0 | 0 | |
335 | 2005 | Security-Enhanced Data Delivery in Sensor Networks | Kuo, Chin-Fu; Lu, Yung-Feng; Pang, Ai-Chun ; Kuo, Tei-Wei | IEEE International Carnahan Conference on Security Technology | |||
336 | 2005 | Efficient Management for Large-Scale Flash-Memory Storage Systems with Resource Conservation | Chang, Li-Pin; Kuo, Tei-Wei | ACM Transactions on Storage | 115 | 0 | |
337 | 2005 | Editorial message for the special track on embedded systems: Applications, solutions, and techniques | Bechini, A. et al.; Bodin, F.; Prete, C.A.; Bartolini, S.; Buttazzo, G.; Cardoso, J.M.P.; Dang, T.; Engels, M.; Foglia, P.; Giorgi, R.; Jha, N.K.; Knijnenburg, P.; Krall, A.; Kuo, T.-W.; L?deczi, ?.; Liu, J.; Memik, G.; O'Boyle, M.; Schants, R.; Sips, H.J.; Talpin, J.-P.; Vassiliadis, S.; TEI-WEI KUO | Proceedings of the ACM Symposium on Applied Computing | 0 | 0 | |
338 | 2005 | Dual power assignment for network connectivity in wireless sensor networks | Chen, Jian-Jia; Lu, Hsueh-I ; Kuo, Tei-Wei; Yang, Chuan-Yue; TEI-WEI KUO ; Pang, Ai-Chun ; AI-CHUN PANG ; HSUEH-I LU | IEEE Global Telecommunications Conference | 14 | 0 | |
339 | 2005 | An Approximation Algorithm for Energy-Efficient Scheduling on A Chip Multiprocessor. | Yang, Chuan-Yue; Chen, Jian-Jia; TEI-WEI KUO | 2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany | 103 | 0 | |
340 | 2005 | 即時資源配置: 理論與即時作業系統實作(2/3) | 郭大維 | ||||
341 | 2005 | Scenario-Based Threat Detection and Attack Analysis | Hsiu, Pi-Cheng; Kuo, Chin-Fu; Kuo, Tei-Wei ; Juan, Eric Y.T. | 39th Annual 2005 International Carnahan Conference on Security Technology | 0 | 0 | |
342 | 2005 | Power-saving scheduling for weakly dynamic voltage scaling devices | Chen, J.-J.; Kuo, T.-W.; Lu, H.-I.; TEI-WEI KUO | Lecture Notes in Computer Science | |||
343 | 2005 | SIP安全性之設計與探討 | 張家禎; 盧永豐; 逄愛君; 郭大維 | Mobile Computing Workshop | |||
344 | 2005 | Reference architecture of intelligent appliances for the elderly | Liu, J. W.S.; Wang, B.Y.; Liao, H.Y.; Huang, C.Y.; Shih, Chi-Sheng; Kuo, T.W.; TEI-WEI KUO ; CHI-SHENG SHIH ; AI-CHUN PANG | 18th International Conference on Systems Engineering | 8 | 0 | |
345 | 2005 | Multi-disk scheduling for time-constrained requests in RAID-0 devices | Lo, S.-W.; Lam, K.-Y.; TEI-WEI KUO | Journal of Systems and Software | 0 | 0 | |
346 | 2005 | Voltage Scaling Scheduling for Periodic Real-Time Tasks in Reward Maximization. | Chen, Jian-Jia; TEI-WEI KUO | Proceedings of the 26th IEEE Real-Time Systems Symposium (RTSS 2005), 6-8 December 2005, Miami, FL, USA | 34 | 0 | |
347 | 2005 | Power-Saving Scheduling for Weakly Dynamic Voltage Scaling Devices. | Chen, Jian-Jia; Kuo, Tei-Wei; Lu, Hsueh-I; TEI-WEI KUO | Algorithms and Data Structures, 9th International Workshop, WADS 2005, Waterloo, Canada, August 15-17, 2005, Proceedings | 28 | 0 | |
348 | 2005 | Efficient on-line identification of hot data for flash-memory management. | Hsieh, Jen-Wei; Chang, Li-Pin; Kuo, Tei-Wei; Wainwright, Roger L.; Leibrock, Lorie M.; Hsieh, J.-W.; Chang, L.-P.; TEI-WEI KUO | Proceedings of the 2005 ACM Symposium on Applied Computing (SAC), Santa Fe, New Mexico, USA, March 13-17, 2005 | 44 | 0 | |
349 | 2005 | 性能可調適之即時系統: 設計與實作 | 郭大維 | ||||
350 | 2005 | Implementations of the User Mobility Support over IPSec | Kuo, Chin-Fu; Lu, Yung-Feng; Pang, Ai-Chun ; Kuo, Tei-Wei | International Workshop on Wireless and Industrial Automation | |||
351 | 2005 | Design and implementation of SIP security | Chang, C.-C.; Lu, Y.-F.; Pang, A.-C.; Kuo, T.-W.; TEI-WEI KUO | Lecture Notes in Computer Science | |||
352 | 2005 | A Configurable Synchronization Protocol for Real-Time Self-Suspending Processes | Chen, Ya-Shu; Chang, Li-Pin; Kuo, Tei-Wei | International Conference on Real-Time and Embedded Computing Systems and Applications | |||
353 | 2005 | Real-time dwell scheduling of component-oriented phased array radars | Kuo, Tei-Wei ; Chao, Yung-Sheng; Kuo, Chin-Fu; Chang, Cheng | IEEE Transactions on Computers | 37 | 24 | |
354 | 2005 | Real-Time Task Scheduling for SMT Systems. | Lo, Shi-Wu; Lam, Kam-yiu; TEI-WEI KUO | 11th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2005), 17-19 August 2005, Hong Kong, China | 7 | 0 | |
355 | 2005 | 1+ ε approximation clock rate assignment for periodic real-time tasks on a voltage-scaling processor | Chen, Jian-Jia; Kuo, Tei-Wei ; CHI-SHENG SHIH ; TEI-WEI KUO | 5th ACM international conference on Embedded software-EMSOFT 05 EMSOFT 05 | 36 | 0 | |
356 | 2005 | Real-Time Task Scheduling Anomaly: Observations and Prevention | Chen, Ya-Shu; Chang, Li-Pin; Kuo, Tei-Wei ; TEI-WEI KUO | ACM Symposium on Applied Computing | 9 | 0 | |
357 | 2005 | Approximation Algorithms for Scheduling Multiple Feasible Interval Jobs. | Chen, Jian-Jia; Wu, Jun; Shih, Chi-Sheng; TEI-WEI KUO ; CHI-SHENG SHIH | 11th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2005), 17-19 August 2005, Hong Kong, China | 7 | 0 | |
358 | 2005 | 1+epsilon Approximation Clock Rate Assignment for Periodic Real-Time Tasks on A Voltage-Scaling Processor | Chen, Jian-Jia; Kuo, Tei-Wei ; Shih, Chi-Sheng | ACM Conference on Embedded Software | |||
359 | 2005 | Journal of Information Science and Engineering: Editorial notice | TEI-WEI KUO | Journal of Information Science and Engineering | |||
360 | 2004 | Multiprocessor energy-efficient scheduling with task migration considerations | Chen, Jian-Jia; Hsu, Heng-Ruey; Chuang, Kai-Hsiang; Yang, Chia-Lin ; Pang, Ai-Chun ; Kuo, Tei-Wei | 16th Euromicro Conference on Real-Time Systems | 0 | 0 | |
361 | 2004 | Time division based shared channel allocation algorithm for UMTS | Lin, Phone ; Gan, Chai-Hien; Perng, Nei-Chiung; Kuo, Tei-Wei ; Hsu, Ching-Chi | Wireless Communications and Networking Conference | |||
362 | 2004 | An Interrupt-Emulation Mechanism with Power-Saving for Flash-Memory Storage Systems | Wu, Chin-Hsien; Kuo, Tei-Wei ; Yang, Chia-Lin | IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis | |||
363 | 2004 | Location management in cellular mobile computing systems with dynamic hierarchical location databases | Li, G.-H.; Lam, K.-Y.; Lo, S.-W.; TEI-WEI KUO | Journal of Systems and Software | 11 | 7 | |
364 | 2004 | Client and Server Mobility for WEB Applications | Tsai, Yi-Hua; Chen, Jian-Jia; Kuo, Tei-Wei ; Shih, Chi-Sheng | Sixth International Conference on Information Integration and Web Based Applications Services | |||
365 | 2004 | Efficient validation of mobile transactions in wireless environments | Lee, Victor C. S.; Lam, Kwok Wa; TEI-WEI KUO | Journal of Systems and Software | 29 | 17 | |
366 | 2004 | An efficient management scheme for large-scale flash-memory storage systems. | Chang, Li-Pin; Kuo, Tei-Wei; TEI-WEI KUO | Proceedings of the 2004 ACM Symposium on Applied Computing (SAC), Nicosia, Cyprus, March 14-17, 2004 | 73 | 0 | |
367 | 2004 | Energy-efficient flash-memory storage systems with an interrupt-emulation mechanism | Wu, Chin-Hsien; TEI-WEI KUO ; CHIA-LIN YANG | Second IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and Systems Synthesis, CODES+ISSS 2004 | 8 | ||
368 | 2004 | 即時資源配置: 理論與即時作業系統實作(1/3) | 郭大維 | ||||
369 | 2004 | An Implementation of Budget-Based Resource Reservation for Real-Time Linux. | Liu, Chin-Shuang; Perng, Nei-Chiung; Kuo, Tei-Wei; TEI-WEI KUO | Computational Science - ICCS 2004, 4th International Conference, Krak?w, Poland, June 6-9, 2004, Proceedings, Part III | 1 | 0 | |
370 | 2004 | Efficient location area planning for cellular networks with hierarchical location databases | Lo, Shi-Wu; Lam, Kam-Yiu; Li, Guo-Hui; TEI-WEI KUO | Computer Networks | 10 | 8 | |
371 | 2004 | Scheduling of Query Execution Plans in Symmetric Multiprocessor Database Systems. | Wu, Jun; Chen, Jian-Jia; Hsueh, Chih-wen; Kuo, Tei-Wei; TEI-WEI KUO | 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA | 0 | 0 | |
372 | 2004 | An Efficient Management Scheme for Large-Scale Flash-Memory Storage Systems | Chang, Li-Pin; Kuo, Tei-Wei | ACM Symposium on Applied Computing | |||
373 | 2004 | Time division based shared channel allocation algorithm for UMTS. | Lin, Phone; Gan, Chai-Hien; Perng, Nei-Chiung; Kuo, Tei-Wei; PHONE LIN ; TEI-WEI KUO | 2004 IEEE Wireless Communications and Networking Conference , WCNC 2004, Atlanta, Georgia, USA, 21-25 March 2004 | 0 | 0 | |
374 | 2004 | Probabilistic real-time guarantees for component-oriented phased array radars | Kuo, Chin-Fu; Chen, Ya-Shu; TEI-WEI KUO ; PHONE LIN ; Chang, Cheng | International Conference on Parallel Processing | 2 | 0 | |
375 | 2004 | Energy-efficient flash-memory storage systems with an interrupt-emulation mechanism | Wu, Chin-Hsien; Kuo, Tei-Wei ; Yang, Chia-Lin | Second IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and Systems Synthesis | |||
376 | 2004 | Multiprocessor Energy-Efficient Scheduling with Task Migration Considerations. | Chen, Jian-Jia; Hsu, Heng-Ruey; Chuang, Kai-Hsiang; Yang, Chia-Lin; Pang, Ai-Chun; AI-CHUN PANG ; CHIA-LIN YANG ; TEI-WEI KUO | 16th Euromicro Conference on Real-Time Systems (ECRTS 2004), 30 June - 2 July 1004, Catania, Italy, Proceedings | 0 | 0 | |
377 | 2004 | An implementation of budget-based resource reservation for real-time linux | Liu, C.S.; Perng, N.C.; Kuo, T.W.; TEI-WEI KUO | Lecture Notes in Computer Science | |||
378 | 2004 | 虛擬家網路環境: 即時行動交談─總計畫(I) | 郭大維 | ||||
379 | 2004 | Multi-disk scheduling for high-performance RAID-0 devices | Lo, H.-W.; Kuo, T.-W.; Lam, K.-Y.; TEI-WEI KUO | Lecture Notes in Computer Science | |||
380 | 2004 | 虛擬家網路環境: 即時行動交談─子計畫三:系統效能與安全(I) | 郭大維 | ||||
381 | 2004 | Profit-Driven Uniprocessor Scheduling with Energy and Timing Constraints | Chen, Jian-Jia; Kuo, Tei-Wei ; Yang, Chia-Lin | 2004 ACM symposium on Applied computing | |||
382 | 2004 | Profit-driven uniprocessor scheduling with energy and timing constraints. | Chen, Jian-Jia; Kuo, Tei-Wei; CHIA-LIN YANG ; TEI-WEI KUO | Proceedings of the 2004 ACM Symposium on Applied Computing (SAC), Nicosia, Cyprus, March 14-17, 2004 | 17 | 0 | |
383 | 2004 | Real-Time Garbage Collection for Flash-Memory Storage Systems of Real-Time Embedded Systems | Chang, Li-Pin; TEI-WEI KUO ; Lo, Shi-Wu | ACM Transactions on Embedded Computing Systems | 197 | ||
384 | 2004 | Real-Time Process Scheduling and Operating Systems | Wu, Jun; Chang, Li-Pin; Hsueh, Chih-wen ; Kuo, Tei-Wei | Bulletin of the College of Engineering | |||
385 | 2004 | Scheduling of Query Execution Plans in Symmetric Multiprocessor Database Systems | Wu, Jun; Chen, Jian-Jia; Hsueh, Chih-wen ; Kuo, Tei-Wei | 18th International Conference on Parallel and Distributed Processing Symposium | |||
386 | 2003 | Schedulability and Performance Analysis of the Similarity Stack Protocol | Kuo, Tei-Wei ; Aloysius, K. Mok | IEEE Transactions on Computers | |||
387 | 2003 | A quantification of aborting effect for real-time data accesses | Liang, Ming-Chung; TEI-WEI KUO ; Kuo, Tei-Wei ; Shu, Lih-Chyun | IEEE Transactions on Computers | |||
388 | 2003 | Two-Version Based Concurrency Control and Recovery in Real-Time Client/Server Databases | Kuo, Tei-Wei ; Kao, Yuan-Ting; Kuo, Chin-Fu | IEEE Transactions on Computers | |||
389 | 2003 | The Impacts of Write Through Procedures and Checkpointing on Real-Time Concurrency Control | Kuo, Tei-Wei ; Hou, Yen-Hsi; Lam, Kam-Yiu | The Computer Journal | 6 | 3 | |
390 | 2003 | Real-Time Multi-Disk Scheduling for High-Performance RAID-0 Devices | Lo, Hsi-Wu; Kuo, Tei-Wei ; Lam, Kam-Yiu | International Conference on Real-Time and Embedded Computing Systems and Applications | |||
391 | 2003 | Efficient online schedulability tests for real-time systems | Chang, L.-P.; Liu, Y.-H.; TEI-WEI KUO | IEEE Transactions on Software Engineering | 30 | 27 | |
392 | 2003 | An Efficient B-Tree Layer for Flash-Memory Storage Systems | Wu, Chin-Hsien; Chang, Li-Pin; TEI-WEI KUO | Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) | 28 | 0 | |
393 | 2003 | The Performance Evaluation of a Dynamic Configuration Method over IPSEC | Liu, Shou-Heng; Lu, Yung-Feng; Kuo, Chin-Fu; Pang, Ai-Chun ; Kuo, Tei-Wei | IEEE Real-Time Systems Symposium | |||
394 | 2003 | The design and implementation of a real-time data dispatching system | Perng, Nei-Chiung; Tsai, Neung-Tsung; Hsieh, Jen-Wei; TEI-WEI KUO | 6th IEEE International Symposium on Object-Oriented Real-Time Distributed Computing | 0 | 0 | |
395 | 2003 | A quantification of aborting effect for real-time data accesses | Liang, M.-C.; Kuo, T.-W.; Shu, L.; TEI-WEI KUO | IEEE Transactions on Computers | 2 | 0 | |
396 | 2003 | RCPCP: A Ceiling-Based Protocol for Single and Multiple Disks Environments | Wu, Jun; Kuo, Tei-Wei; Hsueh, Chih-wen ; TEI-WEI KUO | Computer Journal | |||
397 | 2003 | An Efficient B-Tree Layer for Flash-Memory Storage Systems. | Wu, Chin-Hsien; Chang, Li-Pin; Kuo, Tei-Wei; TEI-WEI KUO | Real-Time and Embedded Computing Systems and Applications, 9th International Conference, RTCSA 2003, Tainan, Taiwan, February 18-20, 2003. Revised Papers | 28 | 0 | |
398 | 2003 | An efficient r-tree implementation over flash-memory storage systems. | Wu, Chin-Hsien; Chang, Li-Pin; Kuo, Tei-Wei; TEI-WEI KUO | ACM-GIS 2003, Proceedings of the Eleventh ACM International Symposium on Advances in Geographic Information Systems, New Orleans, Louisiana, USA, November 7-8, 2003 | 91 | 0 | |
399 | 2003 | Multi-disk Scheduling for High-Performance RAID-0 Devices. | Lo, Hsi-Wu; Kuo, Tei-Wei; Lam, Kam-yiu; TEI-WEI KUO | Real-Time and Embedded Computing Systems and Applications, 9th International Conference, RTCSA 2003, Tainan, Taiwan, February 18-20, 2003. Revised Papers | 0 | 0 | |
400 | 2003 | 即時系統之可排程分析 | 郭大維 | ||||
401 | 2003 | Utilization bound revisited | Chen, Deji; Mok, A.K.; TEI-WEI KUO | IEEE Transactions on Computers | 30 | 16 | |
402 | 2003 | 即時Linux - 資源管理與實作(I) | 郭大維 | ||||
403 | 2003 | An efficient R-tree implementation over flash-memory storage systems | Wu, C.-H.; Chang, L.-P.; Kuo, T.-W.; TEI-WEI KUO | ACM International Symposium on Advances in Geographic Information Systems | 91 | ||
404 | 2003 | Real-Time Digital Signal Processing of Phased Array Radars | Kuo, Chin-Fu; Kuo, Tei-Wei ; Chang, Cheng | IEEE Transactions on Parallel and Distributed Systems | 9 | 3 | |
405 | 2003 | RCPCP: A ceiling-based protocol for multiple-disk environments | Wu, J.; Kuo, T.-W.; CHIH-WEN HSUEH ; TEI-WEI KUO | Computer Journal | 1 | 1 | |
406 | 2003 | A cyclic-executive-based QoS guarantee over USB | Huang, Chih-Yuan; Chang, Li-Pin; TEI-WEI KUO | Real-Time Technology and Applications | 13 | 0 | |
407 | 2003 | The impacts of write-through procedures and checkpointing on real-time concurrency control | Kuo, T.-W.; Hou, Y.-H.; Lam, K.-Y.; TEI-WEI KUO | Computer Journal | |||
408 | 2003 | Schedulability and performance analysis of the similarity stack protocol | Kuo, T.-W.; Mok, A.K.; TEI-WEI KUO | IEEE Transactions on Computers | 0 | 0 | |
409 | 2003 | Two-version based concurrency control and recovery in real-time client/server databases | Kuo, T.-W.; Kao, Y.-T.; Kuo, C.-F.; TEI-WEI KUO | IEEE Transactions on Computers | 12 | 11 | |
410 | 2002 | A Class of Rate-Based Real-Time Scheduling Algorithms | Kuo, Tei-Wei ; Yang, Wang-Ru; Lin, Kwei-Jay | Journal of Crystal Growth | |||
411 | 2002 | Real-time dwell scheduling of component-oriented phased array radars | Chao, Yung-Sheng; Kuo, Chin-Fu; Chang, Cheng; TEI-WEI KUO | IEEE National Radar Conference - Proceedings | 23 | 0 | |
412 | 2002 | Strategies for resolving inter-class data conflicts in mixed real-time database systems | Lam, K.-Y.; Kuo, T.-W.; Lee, T.S.H.; TEI-WEI KUO | Journal of Systems and Software | |||
413 | 2002 | Real-Time Concurrency Control in a Multiprocessor Environment | Kuo, Tei-Wei ; Wu, Jun; Hsih, Hsin-Chia | IEEE Transactions on Parallel and Distributed Systems | 9 | 7 | |
414 | 2002 | Evaluation of concurrency control strategies for mixed soft real-time database systems | Lam, Kam-Yiu; Kuo, Tei-Wei; Kao, Ben; Lee, Tony S.H.; Cheng, Reynold; TEI-WEI KUO | Information Systems | 21 | 12 | |
415 | 2002 | Real-Time Operating Systems & Resource Management | Kuo, Tei-Wei | ||||
416 | 2002 | An adaptive striping architecture for flash memory storage systems of embedded systems | Chang, Li-Pin; TEI-WEI KUO | Real-Time Technology and Applications | 240 | 0 | |
417 | 2002 | A Real-Time Garbage Collection Mechanism for Flash-Memory Stroage Systems in Embedded Systems | Chang, Li-Pin; Kuo, Tei-Wei | International Conference on Real-Time Computing systems and Applications | |||
418 | 2002 | 即時異動排程暨系統恆久考量 | 郭大維 | ||||
419 | 2002 | Strategies for resolving inter-class data conflicts in mixed real-time database systems | Lam, Kam-Yiu; Kuo, Tei-Wei ; Lee, S.H. Tony | The Journal of Systems and Software | |||
420 | 2002 | An Adaptive Stripping Architecture for Flash Memory Storage Systems of Embedded Systems | Chang, Li-Pin; Kuo, Tei-Wei | IEEE Real-Time and Embedded Technology and Applications Symposium | |||
421 | 2002 | Rate Monotonic Analysis (RMA) | 郭大維 | ||||
422 | 2002 | A class of rate-based real-time scheduling algorithms | Kuo, T.-W.; Yang, W.-R.; Lin, K.-J.; TEI-WEI KUO | IEEE Transactions on Computers | 6 | 5 | |
423 | 2001 | A dynamic-voltage-adjustment mechanism in reducing the power consumption of flash memory for portable devices | Chang, Li-Pin; Kuo, Tei-Wei ; Lo, Shi-Wu | International Conference on Consumer Electronics | 0 | 0 | |
424 | 2001 | Real-Time Disk Scheduling for Block-Stripping I2O RAID. | Kuo, Tei-Wei ; Rao, Ji-Shin; Wu, Jun; TEI-WEI KUO | 13th Euromicro Conference on Real-Time Systems (ECRTS 2001), 13-15 June 2001, Delft, The Netherlands, Proceedings | 2 | 0 | |
425 | 2001 | An USB-Based Surveillance System over Wireless Network | Chen, Hsu-Min; Zhuo, Sheng-Yao; Huang, Chih-Yuan; Kuo, Tei-Wei | International Conference on Distributed Multimedia Systems | |||
426 | 2001 | RETINA: A REal-time TraffIc NAvigation System. | Lam, Kam-yiu; Chan, Edward; Kuo, Tei-Wei; Ng, S. W.; Hung, Dick; TEI-WEI KUO | Proceedings of the 2001 ACM SIGMOD international conference on Management of data, Santa Barbara, CA, USA, May 21-24, 2001 | 0 | 0 | |
427 | 2001 | Group Consistency for Real-Only Transactions in Mobile Environments | Lee, Victor; Lam, Eric; Kuo, Tei-Wei | International Workshop on Parallel and Distributed Real-Time Systems | |||
428 | 2001 | RETINA: A REal-time traffic NAvigation system | Lam, Kam-yiu; Chan, Edward; Kuo, Tei-Wei; Ng, S.W.; Hung, Dick; TEI-WEI KUO | ACM SIGMOD International Conference on Management of Data | |||
429 | 2001 | A Multi-Version Data Model for Executing Real-Time Transactions in a Mobile Environment | Lam, Kam-yiu; Li, GuoHui; Kuo, Tei-Wei | ACM International Workshop on Data Engineering for Wireless and Mobile Access | |||
430 | 2001 | Abort-Oriented Concurrency Control for Real-Time Databases | Kuo, Tei-Wei ; Liang, Ming-Chung; Shu, LihChyun | IEEE Transactions on Computers | 7 | 5 | |
431 | 2001 | Location Update Generation in Cellular Mobile Computing Systems | Li, Guo Hui; Lam, Kam-yiu; Kuo, Tei-Wei | International Workshop on Parallel and Distributed Real-Time Systems | |||
432 | 2001 | RETINA: A REal-time TraffIc NAvigation System | Lam, K.-Y.; Chan, E.; Ng, S.W.; Hung, D.; Kuo, T.-W.; TEI-WEI KUO | SIGMOD Record | 0 | 0 | |
433 | 2001 | Group consistency for read-only transactions in mobile environments | Lam, K.-W.; Lee, V.C.S.; TEI-WEI KUO | Proceedings - 15th International Parallel and Distributed Processing Symposium, IPDPS 2001 | 3 | 0 | |
434 | 2001 | Location Update Generation in Cellular Mobile Computing Systems. | Li, Guohui; Lam, Kam-yiu; Kuo, Tei-Wei; TEI-WEI KUO | Proceedings of the 15th International Parallel & Distributed Processing Symposium (IPDPS-01), San Francisco, CA, USA, April 23-27, 2001 | 12 | 0 | |
435 | 2001 | A multi-version data model for executing real-time transactions in a mobile environment. | Lam, Kam-yiu; Li, Guohui; Kuo, Tei-Wei; TEI-WEI KUO | Proceedings of the Second ACM International Workshop on Data Engineering for Wireless and Mobile Access, May 20, 2001, Santa Barbara, California, USA | 10 | 0 | |
436 | 2000 | Real-time digital signal processing of component-oriented phased array radars | Kuo, Chin-Fu; Kuo, Tei-Wei ; TEI-WEI KUO | The 21st IEEE Real-Time Systems Symposium | 0 | 0 | |
437 | 2000 | A Software-Reuse Approach to Build Monitor Porgrams for Soft Real-Time Applications | Kuo, Tei Wei ; Hsu, Mei Ling | Journal of Real-Time Systems | |||
438 | 2000 | The Reduced Ceiling Protocol for Concurrency Control in Real-Time Databases with Mixed Transactions | Lam, Kam yiu; Kuo, Tei Wei ; Tsang, Wai Hung; Law, Gary C. K. | The Computer Journal | |||
439 | 2000 | Concurrency Control in Mobile Distributed Real-time Database Systems | Lam, Kam yiu; Kuo, Tei Wei ; Tsang, Wai Hung; Law, Gary C. K. | Information Systems | 47 | 27 | |
440 | 2000 | Similarity-Based Load Adjustment for Static Real-Time Transaction Systems | Kuo, Tei Wei ; Ho, Shao Juin | IEEE Transactions on Computers | 6 | 0 | |
441 | 2000 | Software-reuse approach to build monitor programs for soft real-time applications | Kuo, T.-W.; Hsu, M.-L.; TEI-WEI KUO | Real-Time Systems | 1 | 1 | |
442 | 2000 | Real-time data semantics and similarity-based concurrency control | Mok, A.K.; TEI-WEI KUO | IEEE Transactions on Computers | 14 | 0 | |
443 | 2000 | Open real-time environment for parallel and distributed systems | Kuo, Tei-Wei; Lin, Kwei-Jay; Wang, Yu-Chung; TEI-WEI KUO | International Conference on Distributed Computing Systems | |||
444 | 2000 | Real-Time Access Control and Reservation on B-Tree Indexed Data | Kuo, Tei-Wei ; Wei, Chih-Hung; Lam, Kam-Yiu | Real-Time Systems | 2 | 2 | |
445 | 2000 | Concurrency control in a multiprocessor real-time database system. | Kuo, Tei-Wei; TEI-WEI KUO | 12th Euromicro Conference on Real-Time Systems (ECRTS 2000), 19-21 June 2000, Stockholm, Sweden, Proceedings | 1 | 0 | |
446 | 2000 | Reduced ceiling protocol for concurrency control in real-time databases with mixed transactions | Lam, K.-Y.; Kuo, T.-W.; Tsang, W.-H.; Law, G.C.K.; TEI-WEI KUO | Computer Journal | 2 | 3 | |
447 | 2000 | Designing inter-class concurrency control strategies for real-time database systems with mixed transactions. | Lam, Kam-yiu; Kuo, Tei-Wei; TEI-WEI KUO | 12th Euromicro Conference on Real-Time Systems (ECRTS 2000), 19-21 June 2000, Stockholm, Sweden, Proceedings | 2 | 0 | |
448 | 2000 | An Open Real-Time Environment for Parallel and Distributed Systems. | Kuo, Tei-Wei; Lin, Kwei-Jay; Wang, Yu-Chung; TEI-WEI KUO | Proceedings of the 20th International Conference on Distributed Computing Systems, Taipei, Taiwan, April 10-13, 2000 | 0 | 0 | |
449 | 2000 | Efficient on-line schedulability tests for priority driven real-time systems | Kuo, T.-W.; Liu, Y.-H.; TEI-WEI KUO | Real-Time Technology and Applications | 19 | 0 | |
450 | 1999 | Utilization bound re-visited | Chen, D.; Mok, A.K.; TEI-WEI KUO | Proceedings - 6th International Conference on Real-Time Computing Systems and Applications, RTCSA 1999 | 6 | 0 | |
451 | 1999 | A similarity-based protocol for concurrency control in mobile distributed real-time database systems | Lam, K.-Y.; Kuo, T.-W.; Law, G.C.K.; TEI-WEI KUO | Lecture Notes in Computer Science | 6 | 0 | |
452 | 1999 | Transaction Shipping Approach for Mobile Distributed Real-Time Databases. | Lam, Kam-yiu; Kuo, Tei-Wei; Tsang, Wai-Hung; Law, Gary C. K.; TEI-WEI KUO | Database and Expert Systems Applications, 10th International Conference, DEXA '99, Florence, Italy, August 30 - September 3, 1999, Proceedings | 1 | 0 | |
453 | 1999 | A Fixed-Priority-Driven Open Environment for Real-Time Applications. | Kuo, Tei-Wei; Li, Ching-Hui; TEI-WEI KUO | Proceedings of the 20th IEEE Real-Time Systems Symposium, Phoenix, AZ, USA, December 1-3, 1999 | 0 | 0 | |
454 | 1999 | Shadowing-based crash recovery schemes for real-time databasesystems. | Shu, LihChyun; Sun, Huey-Min; TEI-WEI KUO | 11th Euromicro Conference on Real-Time Systems (ECRTS 1999), 9-11 June 1999, York, England, UK, Proceedings | 8 | 0 | |
455 | 1999 | Real-Time Data Access Control on B-Tree Index Structures. | Kuo, Tei-Wei; Wei, Chih-Hung; Lam, Kam-yiu; TEI-WEI KUO | Proceedings of the 15th International Conference on Data Engineering, Sydney, Australia, March 23-26, 1999 | 0 | 0 | |
456 | 1999 | Real-Time Scheduling of CPU-Bound and I/O-Bound Processes. | Wu, Jun; Kuo, Tei-Wei; TEI-WEI KUO | 6th International Workshop on Real-Time Computing and Applications Symposium (RTCSA '99), 13-16 December 1999, Hong Kong, China | 8 | 0 | |
457 | 1999 | Real-time data access control on B-tree index structures | Kuo, Tei-Wei; Wei, Chih-Hung; Lam, Kam-Yiu; TEI-WEI KUO | International Conference on Data Engineering | |||
458 | 1999 | Fixed-priority-driven open environment for real-time applications | Kuo, Tei-Wei; Li, Ching-Hui; TEI-WEI KUO | Real-Time Systems Symposium | |||
459 | 1999 | Transaction shipping approach for mobile distributed real-time databases | Lam, K.-Y.; Kuo, T.-W.; Tsang, W.-H.; Law, G.C.K.; TEI-WEI KUO | Lecture Notes in Computer Science | |||
460 | 1998 | A Two-Version Approach for Real-Time Concurrency Control and Recovery. | Kuo, Tei-Wei; Kao, Yuan-Ting; Shu, LihChyun; TEI-WEI KUO | 3rd IEEE International Symposium on High-Assurance Systems Engineering (HASE '98), 13-14 November 1998, Washington, D.C, USA, Proceedings | 8 | 0 | |
461 | 1998 | EGPS: a class of real-time scheduling algorithms based on processor sharing. | Kuo, Tei-Wei; Yang, Wang-Ru; TEI-WEI KUO | 10th Euromicro Conference on Real-Time Systems (ECRTS 1998), 17-19 June 1998, berlin, Germany, Proceedings | 12 | 0 | |
462 | 1998 | Load adjustment and filtering based on process criticality | Kuo, T.-W.; Ni, S.-K.; TEI-WEI KUO | Proceedings - 5th International Conference on Real-Time Computing Systems and Applications, RTCSA 1998 | 0 | 0 | |
463 | 1998 | Building soft real-Time monitors based on software reuse | Hsu, M.-L.; Kuo, T.-W.; TEI-WEI KUO | Proceedings of the IEEE International Conference on Engineering of Complex Computer Systems, ICECCS | 1 | 0 | |
464 | 1997 | PASS: a prototyping, analysis, simulation, and synthesis environment for real-time systems. | Kuo, Tei-Wei; Ho, Shao-Juen; Wei, Chih-Hung; TEI-WEI KUO ; FARN WANG | 4th International Workshop on Real-Time Computing Systems and Applications (RTCSA '97), 27-29 October 1997, Taipei, Taiwan | 0 | 0 | |
465 | 1997 | Criticality-based load adjustment in adaptive real-time systems | Ni, S.-K.; Kuo, T.-W.; Huang, G.-H.; TEI-WEI KUO | Journal of Information Science and Engineering | |||
466 | 1997 | Concurrency control for real-time database systems with mixed transactions. | Lam, Kam-yiu; Kuo, Tei-Wei; Tsang, Wai-Hung; TEI-WEI KUO | 4th International Workshop on Real-Time Computing Systems and Applications (RTCSA '97), 27-29 October 1997, Taipei, Taiwan | 0 | 0 | |
467 | 1997 | Error propagation analysis of real-time data-intensive applications | Kuo, Tei-Wei; Locke, Doug; Wang, Farn; TEI-WEI KUO | Real-Time Technology and Applications | |||
468 | 1997 | Concurrency control for real-time database systems with mixed transactions | Lam, Kam-yiu; Kuo, Tei-Wei; Tsang, Wai-Hung; TEI-WEI KUO | International Workshop on Real-Time Computing Systems and Applications/RTCSA | |||
469 | 1997 | Incremental reconfiguration and load adjustment in adaptive real-time systems | Kuo, T.-W.; Mok, A.K.; TEI-WEI KUO | IEEE Transactions on Computers | 40 | 0 | |
470 | 1997 | Similarity-based load adjustment for real-time data-intensive applications. | Ho, Shao-Juen; Kuo, Tei-Wei; Mok, Aloysius K.; TEI-WEI KUO | Proceedings of the 18th IEEE Real-Time Systems Symposium (RTSS '97), December 3-5, 1997, San Francisco, CA, USA | 0 | 0 | |
471 | 1997 | Similarity-based load adjustment for real-time data-intensive applications | Ho, S.-J.; Kuo, T.-W.; Mok, A.K.; TEI-WEI KUO | Real-Time Systems Symposium | |||
472 | 1997 | Error Propagation Analysis of Real-Time Data Intensive Applications. | Kuo, Tei-Wei; Locke, Doug; TEI-WEI KUO ; FARN WANG | 3rd IEEE Real-Time Technology and Applications Symposium, RTAS '97, Montreal, Canada, June 9-11, 1997 | 1 | 0 | |
473 | 1996 | BAP: a class of abort-oriented protocols based on the notion of compatibility. | Liang, Ming-Chung; Kuo, Tei-Wei; Shu, LihChyun; TEI-WEI KUO | Third International Workshop on Real-Time Computing Systems Application (RTCSA '96), October 30 - November 01, 1996, Seoul, Korea | 0 | 0 | |
474 | 1996 | Real-Time Database - Similarity Semantics and Resource Scheduling | Kuo, T.-W.; Mok, A.K.; TEI-WEI KUO | SIGMOD | |||
475 | 1996 | BAP: a class of abort-oriented protocols based on the notion of compatibility | Liang, Ming-Chung; Kuo, Tei-Wei; Shu, LihChyun; TEI-WEI KUO | International Workshop on Real-Time Computing Systems and Applications/RTCSA | |||
476 | 1995 | The design and implementation of a Real-Time Object Management Interface. | Kuo, Tei-Wei; Mok, Aloysius K.; TEI-WEI KUO | 1st IEEE Real-Time Technology and Applications Symposium, Chicago, Illinois, USA, May 15-17, 1995 | 0 | 0 | |
477 | 1995 | Design and implementation of a real-time object management interface | Kuo, Tei-Wei; Mok, Aloysius K.; TEI-WEI KUO | Real-Time Technology and Applications | |||
478 | 1994 | Using data similarity to achieve synchronization for free | Kuo, Tei-Wei; Mok, Aloysius K.; TEI-WEI KUO | IEEE Workshop on Real-Time Operating Systems and Software | |||
479 | 1993 | SSP: A semantics-based protocol for real-time data access | Kuo, Tei-Wei; Mok, Aloysius K.; TEI-WEI KUO | Real-Time Systems Symposium | |||
480 | 1993 | SSP: A Semantics-Based Protocol for Real-Time Data Access. | Kuo, Tei-Wei; Mok, Aloysius K.; TEI-WEI KUO | Proceedings of the Real-Time Systems Symposium. Raleigh-Durham, NC, USA, December 1993 | 0 | 0 | |
481 | 1992 | Application Semantics and Concurrency Control of Real-Time Data-Intensive Applications. | Kuo, Tei-Wei; TEI-WEI KUO | Proceedings of the Real-Time Systems Symposium - 1992, Phoenix, Arizona, USA, December 1992 | 38 | 0 | |
482 | 1991 | Load Adjustment in Adaptive Real-Time Systems. | Kuo, Tei-Wei; Mok, Aloysius K.; TEI-WEI KUO | Proceedings of the Real-Time Systems Symposium - 1991, San Antonio, Texas, USA, December 1991 | 0 | 0 | |
483 | 1991 | Load adjustment in adaptive real-time systems | Kuo, Tei-Wei; Mok, Aloysius K.; TEI-WEI KUO | Real-Time Systems Symposium | |||
484 | - | Real-Time Process Scheduling | 郭大維 | ||||
485 | - | Introduction to Real-Time Databases | 郭大維 |