Results 1-165 of 165 (Search time: 0.007 seconds).
Issue Date | Title | Author(s) | Source | scopus | WOS | Fulltext/Archive link | |
---|---|---|---|---|---|---|---|
1 | 2024 | Optimizing ultra-wideband balanced power amplifiers through the selection of different output impedance transformation based on SiP techniques | Hong, Chung Hung; Cheng, Sheng Jen; Shen, Pi Neng; CHUNG-PING CHEN | AIP Advances | |||
2 | 2023 | A Ripple-Based Constant On-Time Controlled DC-DC Buck Converter with Inductor Current Sensing Technique | Cheng, Sheng Jen; Tsai, Chieh Ju; Wang, Sheng Yu; Liu, Wei Yi; CHUNG-PING CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | 0 | 0 | |
3 | 2023 | A 0.02mm<sup>2</sup>Sub-Sampling PLL with Spur Reduction Technique in 90nm CMOS Technology | Cheng, Sheng Jen; Qiu, You Rong; Hong, Chung Hung; Liu, Wei Yi; Li, Chia Hsuan; CHUNG-PING CHEN | 2023 International VLSI Symposium on Technology, Systems and Applications, VLSI-TSA/VLSI-DAT 2023 - Proceedings | 0 | 0 | |
4 | 2023 | Injection-locked Frequency Sixtuplers in 90nm CMOS by Using the Push-Push Doubler | Cheng, Sheng Jen; Shen, Pi Neng; Hong, Chung Hung; Chen, Zheng Wei; CHUNG-PING CHEN ; Jang, Sheng Lyang | IEEE Access | 0 | 0 | |
5 | 2023 | High Swing VCO with Current-Reused Frequency Doubler and Darlington Amplifier | Cheng, Sheng Jen; Jang, Sheng Lyang; Chen, Hui; Hong, Chung Hung; CHUNG-PING CHEN | 2023 International VLSI Symposium on Technology, Systems and Applications, VLSI-TSA/VLSI-DAT 2023 - Proceedings | 0 | 0 | |
6 | 2021 | Opportunities for 2.5/3D Heterogeneous SoC Integration | CHUNG-PING CHEN ; HUI-RU JIANG ; JIUN-LANG HUANG ; YAO-WEN CHANG | 2021 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2021 - Proceedings | 1 | 0 | |
7 | 2021 | An accurate bladder volume measurement algorithm via multi-dimensional image and spatial-information using point-of-care ultrasound only | Chen P.-X; CHUNG-PING CHEN | Proceedings of SPIE - The International Society for Optical Engineering | |||
8 | 2020 | Intelligent Design Automation for 2.5/3D Heterogeneous SoC Integration | Jiang I.H.-R; Chang Y.-W; Huang J.-L; CHUNG-PING CHEN ; HUI-RU JIANG ; JIUN-LANG HUANG ; YAO-WEN CHANG | IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD | 5 | 0 | |
9 | 2020 | A Quasi-V2 Hysteretic Buck Converter with Adaptive COT Control for Fast DVS and Load-Transient Response in RF Applications | Ting C.-Y; Lin J.-Y; CHUNG-PING CHEN | IEEE Transactions on Circuits and Systems II: Express Briefs | 15 | 17 | |
10 | 2019 | Electromechanical coupling of botanic cells: Theory and applications | Chen C.C; Shih W.P.; CHUNG-PING CHEN | Handbook of Mechanics of Materials | |||
11 | 2019 | A transient enhancement DC-DC buck converter with dual operating modes control technique | Hsu, Y.-C.; Ting, C.-Y.; Hsu, L.-S.; Lin, J.-Y.; CHUNG-PING CHEN | IEEE Transactions on Circuits and Systems II: Express Briefs | 26 | 22 | |
12 | 2019 | Subjective Interpupillary Distance of Measurement Technique | Liao, J.-Y.; Chen, D.-C.; Chang, S.-T.; Chen, C.-P.; Wu, C.-H.; Hsu, C.-K.; CHUNG-PING CHEN | Proceedings - 2019 International Symposium on Intelligent Signal Processing and Communication Systems, ISPACS 2019 | |||
13 | 2019 | Frequency hopping and parallel driving with random delay especially suitable for the charger noise problem in mutual-capacitive touch applications | Huang, S.-L.; Hung, S.-Y.; CHUNG-PING CHEN | IEEE Access | |||
14 | 2019 | An inductor current balancing technique for FLDLL based four-phase buck converter with transient-modulated constant on-time control for load transient response | Ting, C.-Y.; Ko, C.-C.; Lin, J.-Y.; CHUNG-PING CHEN | 2019 4th International Conference on Intelligent Green Building and Smart Grid, IGBSG 2019 | |||
15 | 2019 | A Real Time EEG Analysis System for the Prediction of Clinical Antidepressant Responses | Hung, S.-H.; Wu, I.; Li, Y.-C.; Li, C.-T.; CHUNG-PING CHEN | International Conference on Digital Signal Processing, DSP | |||
16 | 2018 | A single-stage asymmetrical half-bridge flyback converter with resonant operation | Ting, C.-Y.; Chieh Hsu, Y.-.; Lin, J.-Y.; CHUNG-PING CHEN | Energies | 2 | 2 | |
17 | 2018 | Area-saving and high-efficiency rgb led driver with adaptive driving voltage and energy-saving technique | Hsu, Y.-C.; Lin, J.-Y.; CHUNG-PING CHEN | Energies | 3 | 3 | |
18 | 2018 | Cost-effective and channel-scalable hardware decoders for multiple electron-beam direct-write systems | Yu, Chun-Chang; Lin, Pei-Chun; Lu, Yi-Chang; PEI-CHUN LIN ; CHUNG-PING CHEN | Journal of Micro/Nanolithography, MEMS, and MOEMS | 0 | 0 | |
19 | 2018 | Buck DC-DC converter for fast transient response using dual current pumping control technique | Hsu, Y.-C.; Hsu, L.-S.; Lin, J.-Y.; CHUNG-PING CHEN | IGBSG 2018 - 2018 International Conference on Intelligent Green Building and Smart Grid | |||
20 | 2017 | An efficient DFT-based algoritiim for the charger noise problem in capacitive touch applications | Huang, S.-L.; Hung, S.-Y.; CHUNG-PING CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | |||
21 | 2017 | Synchronous Double-Pumping Technique for Integrated Current-Mode PWM DC-DC Converters Demand on Fast-Transient Response | Wu, K.-I.; Hwang, B.-T.; CHUNG-PING CHEN | IEEE Transactions on Power Electronics | |||
22 | 2017 | Is categorization of random data necessary for parallel analysis on Likert-type data? | Weng, Li-Jen; CHUNG-PING CHEN ; LI-JEN WENG | Communications in Statistics - Simulation and Computation | 5 | 5 | |
23 | 2016 | Robust dermatological wound image segmentation in clinical photos | Chang, Chih; Ho, Te-We; JIN-MING WU ; Tsai, Hsing-Hua; CHUNG-PING CHEN ; FEI-PEI LAI ; HAO-CHIH TAI ; NAI-CHEN CHENG | 2015 E-Health and Bioengineering Conference, EHB 2015 | 4 | 0 | |
24 | 2016 | A 6.7 MHz to 1.24 GHz 0.0318 mm 2 Fast-Locking All-Digital DLL Using Phase-Tracing Delay Unit in 90 nm CMOS | Hsieh, M.-H.; Chen, L.-H.; Liu, S.-I.; SHEN-IUAN LIU ; CHUNG-PING CHEN | IEEE Journal of Solid-State Circuits | 19 | 19 | |
25 | 2016 | Effects of pudendal neuromodulation on bladder function in chronic spinal cord-injured rats | Lin Y.-T.; Hsieh T.-H.; Chen S.-C.; Lai C.-H.; Kuo T.-S.; Chen C.-P.; Lin C.-W. ; Young S.-T.; CHUNG-PING CHEN | Journal of the Formosan Medical Association | 4 | 4 | |
26 | 2016 | Lossless compression algorithm based on dictionary coding for multiple e-beam direct write system | Lin, P.-C.; Pai, Y.-H.; Chiu, Y.-H.; Fang, S.-Y.; CHUNG-PING CHEN | Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016 | |||
27 | 2015 | Musical Tension over Time: Listeners’ Physiological Responses to the ‘Retransition’ in Classical Sonata Form | CHEN-GIA TSAI ; CHUNG-PING CHEN | Journal of New Music Research | 6 | 7 | |
28 | 2015 | A 8.1/5.4/2.7/1.62 Gb/s receiver for DisplayPort Version 1.3 with automatic bit-rate tracking scheme | Chien, A.; Hung, S.-H.; Wu, K.-I.; Liu, C.-Y.; Hsieh, M.-H.; CHUNG-PING CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | |||
29 | 2015 | A fast-settling high linearity auto gain control for broadband OFDM-based PLC system | Wu, K.-I.; Hung, S.-Y.; Hung, S.-H.; CHUNG-PING CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | |||
30 | 2015 | A 160MHz-to-2GHz low jitter fast lock all-digital DLL with phase tracking technique | Hung, S.-H.; Kao, W.-H.; Wu, K.-I.; Huang, Y.-W.; Hsieh, M.-H.; CHUNG-PING CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | |||
31 | 2015 | A -194 dBc/Hz FOM interactive current-reused QVCO (ICR-QVCO) with capacitor-coupling self-switching sinusoidal current biasing (CSSCB) phase noise reduction technique | Wu, K.-I.; Shen, I.-S.; Jou, C.F.; CHUNG-PING CHEN | IEEE Symposium on VLSI Circuits, Digest of Technical Papers | |||
32 | 2015 | An efficient multi-touch tracking algorithm with a large number of points | Huang, S.-L.; Hung, S.-Y.; Chen, C.C.-P.; Tsao, C.-H.; Chang, N.-W.; CHUNG-PING CHEN | IEEE International Conference on Consumer Electronics - Berlin, ICCE-Berlin | |||
33 | 2015 | Efficient three-dimensional resist profile-driven source mask optimization optical proximity correction based on Abbe-principal component analysis and Sylvester equation | Lin, P.-C.; Yu, C.-C.; PEI-CHUN LIN ; CHUNG-PING CHEN | Journal of Micro/ Nanolithography, MEMS, and MOEMS | 1 | 1 | |
34 | 2014 | Cost-efficient hardware implementation of stereo image depth optimization system | Yu, C.-C.; Cheng, C.-H.; Lin, P.-C.; CHUNG-PING CHEN | 2014 International Conference on 3D Imaging, IC3D 2014 - Proceedings | |||
35 | 2014 | Current-mode adaptively hysteretic control for buck converters with fast transient response and improved output regulation | Wu, K.-I.; Hung, S.-H.; Shieh, S.-Y.; Hwang, B.-T.; Hung, S.-Y.; CHUNG-PING CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | |||
36 | 2013 | A high dynamic range programmable gain amplifier for HomePlug AV powerline communication system | Hung, S.-Y.; Chan, K.-H.; CHUNG-PING CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | |||
37 | 2013 | A 52 dBc MTPR line driver for powerline communication HomePlug AV standard in 0.18-μm CMOS technology | Liu, P.-K.; Hung, S.-Y.; Liu, C.-Y.; Hsieh, M.-H.; CHUNG-PING CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | |||
38 | 2013 | A 10-bit current-steering DAC for HomePlug AV2 powerline communication system in 90nm CMOS | Cheng, W.-S.; Hsieh, M.-H.; Hung, S.-H.; Hung, S.-Y.; CHUNG-PING CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | |||
39 | 2013 | A high-accuracy multidomain legendre pseudospectral frequency-domain method with penalty scheme for solving scattering and coupling problems of nano-cylinders | Wang, C.-Y.; Chung, S.-Y.; Teng, C.-H.; Wang, J.-K. ; Chen, C.-P.; HUNG-CHUN CHANG ; CHUNG-PING CHEN | Journal of Lightwave Technology | 1 | 1 | |
40 | 2013 | A significant multi-touch algorithm for the tracking problem based on the Hungarian algorithm | Huang, S.-L.; CHUNG-PING CHEN | Digest of Technical Papers - SID International Symposium | |||
41 | 2013 | High-accuracy waveguide leaky-mode analysis using a multidomain pseudospectral frequency-domain method incorporated with stretched coordinate PML | Wang, C.-Y.; Liu, H.-H.; Chung, S.-Y.; Teng, C.-H.; Chen, C.-P.; HUNG-CHUN CHANG ; CHUNG-PING CHEN | Journal of Lightwave Technology | 8 | 8 | |
42 | 2013 | High speed and flexible PEB 3D diffusion simulation based on Sylvester equation | Lin, P.-C.; CHUNG-PING CHEN | Proceedings of SPIE - The International Society for Optical Engineering | |||
43 | 2012 | Efficient thermal simulation for 3-D IC with thermal through-silicon vias | Oh, D.; Chen, C.C.P.; Hu, Y.H.; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | |||
44 | 2012 | A 6.7MHz-to-1.24GHz 0.0318mm2fast-locking all-digital DLL in 90nm CMOS | Hsieh, M.-H.; Chen, L.-H.; Liu, S.-I.; CHUNG-PING CHEN | Digest of Technical Papers - IEEE International Solid-State Circuits Conference | |||
45 | 2012 | A 2 -8 GHz multi-phase distributed DLL using phase insertion in 90 nm | Hsieh, M.-H.; Lin, B.-F.; Wang, Y.-S.; Chang, H.-H.; CHUNG-PING CHEN | ISCAS 2012 - 2012 IEEE International Symposium on Circuits and Systems | |||
46 | 2012 | Simulations of dielectric and plasmonic waveguide-coupled ring resonators using the Legendre pseudospectral time-domain method | Chung, S.-Y.; Wang, C.-Y.; Teng, C.-H.; Chen, C.-P.; HUNG-CHUN CHANG ; CHUNG-PING CHEN | Journal of Lightwave Technology | 9 | 8 | |
47 | 2012 | Investigation of nanoelectromagnetics problems using the multidomain legendre pseudospectral time-domain method | Chang, H.-C.; Chung, S.-Y.; Wang, C.-Y.; Teng, C.-H.; Wang, J.-K. ; CHUNG-PING CHEN | 2012 IEEE International Workshop on Electromagnetics: Applications and Student Innovation Competition, iWEM 2012 | 0 | 0 | |
48 | 2012 | An at-speed test technique for high-speed high-order adder by a 6.4-GHz 64-bit domino adder example | Wang, Y.-S.; Hsieh, M.-H.; Li, J.C.-M.; CHIEN-MO LI ; CHUNG-PING CHEN | IEEE Transactions on Circuits and Systems I: Regular Papers | 3 | 3 | |
49 | 2011 | An at-speed self-testable technique for the high speed domino adder | Wang, Y.-S.; Hsieh, M.-H.; Liu, C.-M.; Liu, C.-W.; Li, J.C.-M.; CHIEN-MO LI ; CHUNG-PING CHEN | Proceedings of the Custom Integrated Circuits Conference | 1 | 0 | |
50 | 2011 | Hierarchical kernel generation for SMO application | Chang, J.H.-C.; Chen, C.C.-P.; Melvin III, L.S.; CHUNG-PING CHEN | Proceedings of SPIE - The International Society for Optical Engineering | |||
51 | 2011 | Modelling plasmonic waveguide resonators using pseudospectral methods | Chung, S.-Y.; Wang, C.-Y.; Teng, C.-H.; Chen, C.-P.; Chang, H.-C.; CHUNG-PING CHEN | 2011 ICO International Conference on Information Photonics, IP 2011 | |||
52 | 2011 | A 1.2V 6.4GHz 181ps 64-bit CD domino adder with DLL measurement technique | Wang, Y.-S.; Hsieh, M.-H.; Liu, C.-M.; Wu, Y.-C.; Lin, B.-F.; Chiu, H.-C.; CHUNG-PING CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | |||
53 | 2011 | A 12 Gb/s chip-to-chip AC coupled transceiver | Wang, Y.-S.; Hsieh, M.-H.; Wu, Y.-C.; Liu, C.-M.; Chiu, H.-C.; Lin, B.-F.; CHUNG-PING CHEN | Proceedings - IEEE International Symposium on Circuits and Systems | |||
54 | 2011 | Characterization of OsSUT2 expression and regulation in germinating embryos of rice seeds | Siao, W.; Chen, J.-Y.; Hsiao, H.-H.; Chung, Ping ; SHU-JEN WANG | Rice | |||
55 | 2011 | Epileptic seizure detection for multichannel EEG signals with support vector machines | Shen, C.-P.; Chan, C.-M.; Lin, F.-S.; MING-JANG CHIU ; Lin, J.-W.; Kao, J.-H.; Chen, C.-P.; Lai, F.; CHUNG-PING CHEN | 11th IEEE International Conference on Bioinformatics and Bioengineering | 29 | 0 | |
56 | 2011 | A mathematical method for VLSI thermal simulation at the system and circuit levels | Oh, D.; Chen, C.C.P.; Hu, Y.H.; CHUNG-PING CHEN | Recent Topics on Modeling of Semiconductor Processes, Devices, and Circuits | |||
57 | 2011 | Numerical investigation of light scattering by coupled plasmonic nanospheres using a high-accuracy multidomain Legendre pseudospectral time-domain method | Chung, S.-Y.; Wang, C.-Y.; Teng, C.-H.; Chen, C.-P.; Chang, H.-C.; CHUNG-PING CHEN | 2011 30th URSI General Assembly and Scientific Symposium, URSIGASS 2011 | |||
58 | 2010 | A Legendre Pseudospectral Frequency-Domain Method for Solving Maxwell’s Equations | C. Y. Wang; S. Y. Chung; C. H. Teng; C. P. Chen; HUNG-CHUN CHANG ; CHUNG-PING CHEN | XX URSI Commission B International Symposium on Electromagnetic Theory (EMT-S 2010) | 1 | 0 | |
59 | 2010 | Electromagnetic Simulations of 2D Optical Microring Resonators Using the Multidomain Legendre Pseudospectral Time-Domain Method | S. Y. Chung; C. Y. Wang; C. H. Teng; C. P. Chen; HUNG-CHUN CHANG ; CHUNG-PING CHEN | International Conference on Applications of Electromagnetism and Student Innovation Competition Awards | 0 | 0 | |
60 | 2010 | Runtime temperature-based power estimation for optimizing throughput of thermal-constrained multi-core processors | Oh, D.; Kim, N.S.; Chen, C.C.P.; Davoodi, A.; Hu, Y.H.; CHUNG-PING CHEN | Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC | |||
61 | 2010 | Interconnect delay and slew metrics using the extreme value distribution | Zeng, J.-K.; CHUNG-PING CHEN | Proceedings of the 11th International Symposium on Quality Electronic Design, ISQED 2010 | |||
62 | 2010 | Abbe-PCA-SMO: Microlithography source and mask optimization based on Abbe-PCA | Chang, J.H.-C.; Chen, C.C.-P.; Melvin, L.S.; CHUNG-PING CHEN | Proceedings of SPIE - The International Society for Optical Engineering | |||
63 | 2010 | Automatic mura detection based on thresholding the fused normalized first and second derivatives in four directions | Jamleh, H.; Li, T.-Y.; Wang, S.-Z.; Chen, C.-W.; Kuo, C.-C.; Wang, K.-S.; CHUNG-PING CHEN | Journal of the Society for Information Display | |||
64 | 2010 | A multidomain legendre pseudospectral frequency-domain method with penalty scheme for solving Maxwell's equations | Wang, C.-Y.; Chung, S.-Y.; Teng, C.-H.; Chen, C.-P.; Chang, H.-C.; CHUNG-PING CHEN | International Conference on Applications of Electromagnetism and Student Innovation Competition Awards, AEM2C 2010 | |||
65 | 2010 | Provably all-convex optimal minimum-error convex fitting algorithm using linear programming | Li, T.-Y.; Chang, J.H.-C.; Hung, S.-P.; CHUNG-PING CHEN | Proceedings of 2010 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2010 | |||
66 | 2010 | GOP-level parallelization of the H.264 decoder without a start-code scanner | G?rhanli, A.; Chen, C.C.-P.; SHIH-HAO HUNG ; CHUNG-PING CHEN | ICSPS 2010 - Proceedings of the 2010 2nd International Conference on Signal Processing Systems | 6 | 0 | |
67 | 2010 | The compatibility analysis of thread migration and DVFS in multi-core processor | Oh, D.; Chen, C.C.P.; Kim, N.; Hu, Y.H.; CHUNG-PING CHEN | Proceedings of the 11th International Symposium on Quality Electronic Design, ISQED 2010 | |||
68 | 2010 | LTCC spiral inductor synthesis and optimization with measurement verification | Lu, H.-C.; Chan, T.B.; Chen, C.C.-P.; Liu, C.-M.; Hsing, H.-J.; Lu, Hsin-Chia ; CHUNG-PING CHEN | IEEE Transactions on Advanced Packaging | 19 | 17 | |
69 | 2010 | Accurate and analytical statistical spatial correlation modeling based on singular value decomposition for VLSI DFM applications | Liu, J.-H.; Tsai, M.-F.; Chen, L.; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | |||
70 | 2009 | Efficient and accurate optical scatterometry diagnosis of grating variation based on segmented moment matching and singular value decomposition method | Liu, J.-H.; Liu, C.-W.; Huang, K.-J.; Li, T.-Y.; Chiu, M.-C.; Hong, J.-J.; Chen, C.C.-P.; Jao, C.-S.; LON A. WANG ; CHUNG-PING CHEN | Microelectronic Engineering | 2 | 2 | |
71 | 2009 | Abbe-PCA-SMO: Microlithography source and mask optimization based on Abbe-PCA | Chang, S.-J.; Chen, C.C.P.; Melvin III, L.S.; CHUNG-PING CHEN | Proceedings of SPIE - The International Society for Optical Engineering | |||
72 | 2009 | Hardware accelerated aerial image simulation by FPGA | Jamleh, H.; Li, T.-Y.; Wang, S.-Z.; Chen, C.-W.; Kuo, C.-C.; Wang, K.-S.; CHUNG-PING CHEN | Proceedings - 2009 5th Southern Conference on Programmable Logic, SPL 2009 | |||
73 | 2009 | Abbe-PCA (Abbe-Hopkins): Microlithography aerial image analytical compact kernel generation based on principle component analysis | Tsai, M.-F.; Chang, S.-J.; Chen, C.C.P.; Melvin III, L.S.; CHUNG-PING CHEN | Proceedings of SPIE - The International Society for Optical Engineering | |||
74 | 2008 | 超大型積體電路製程偏差統計型時序分析(I) | 陳中平 | ||||
75 | 2008 | 落花生二苯乙烯類對HL-60細胞株的影響 | 鍾秉真; Chung, Ping-Chen | ||||
76 | 2008 | Process-variation statistical modeling for VLSI timing analysis | Liu, J.-H.; Zeng, J.-K.; Hong, A.-S.; Chen, L.; CHUNG-PING CHEN | Proceedings of the 9th International Symposium on Quality Electronic Design, ISQED 2008 | |||
77 | 2008 | An optimal algorithm for sizing sequential circuits for industrial library based designs | Roy, S.; Hu, Y.H.; Chen, C.C.-P.; Hung, S.-P.; Chiang, T.-Y.; Tseng, J.-G.; CHUNG-PING CHEN | Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC | |||
78 | 2008 | Gender Differences in Relationships of Actual and Virtual Social Support to Internet Addiction Mediated through Depressive Symptoms among College Students in Taiwan. | Yeh, Yu-Chun; Ko, Huei-Chen; Wu, Jo Yung-Wei; CHUNG-PING CHEN | Cyberpsy., Behavior, and Soc. Networking | |||
79 | 2008 | High-speed microlithography aerial image simulation without four-dimensional singular-value decomposition | CHUNG-PING CHEN | Proceedings of SPIE - The International Society for Optical Engineering | |||
80 | 2008 | Accurate and analytical statistical spatial correlation modeling for vlsi DFM applications | Liu, J.-H.; Tsai, M.-F.; Chen, L.; CHUNG-PING CHEN | Proceedings - Design Automation Conference | |||
81 | 2008 | LTCC spiral inductor modeling, synthesis, and optimization | Chan, T.B.; Lu, H.-C.; Zeng, J.-K.; HSIN-CHIA LU ; CHUNG-PING CHEN | Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC | 10 | 0 | |
82 | 2008 | Abbe singular-value decomposition: Compact Abbe's kernel generation for microlithography aerial image simulation using singular-value decomposition method | Chen, C.C.P.; Gurhanli, A.; Chiang, T.-Y.; Hong, J.-J.; Melvin, L.S.; CHUNG-PING CHEN | Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures | |||
83 | 2008 | Deep submicron interconnect timing model with quadratic random variable analysis | Zeng, J.-K.; CHUNG-PING CHEN | Proceedings -Design, Automation and Test in Europe, DATE | |||
84 | 2007 | 3DFFT: Thermal analysis of non-homogeneous IC using 3D FFT green function method | Oh, D.; Chen, C.C.P.; Hu, Y.H.; CHUNG-PING CHEN | Proceedings - Eighth International Symposium on Quality Electronic Design, ISQED 2007 | |||
85 | 2007 | Numerically convex forms and their application in gate sizing | Roy, S.; Chen, W.; Chen, C.C.-P.; Hu, Y.H.; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | |||
86 | 2007 | SmartSmooth: A linear time convexity preserving smoothing algorithm for numerically convex data with application to VLSI design | Roy, S.; CHUNG-PING CHEN | Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC | |||
87 | 2007 | Computing Thresholds of Linguistic Saliency. | Chung, Siaw-Fong; Ahrens, Kathleen; Cheng, Chung-Ping; Huang, Chu-Ren; Simon, Petr; CHUNG-PING CHEN | Proceedings of the 21st Pacific Asia Conference on Language, Information and Computation, PACLIC 21, Seoul, Korea, November 1-3, 2007 | |||
88 | 2007 | Analysis of fringing-electric-field-related capacitance behavior of narrow-channel FD SOI NMOS devices using 3D simulation | Chen, C.C.; Lin, O.G.; Kuo, J.B.; CHUNG-PING CHEN | ICSICT-2006: 2006 8th International Conference on Solid-State and Integrated Circuit Technology, Proceedings | |||
89 | 2007 | Welcome notes ISQED07 | Chen, C.C.-P.; Srikantam, V.; Karnik, T.; Pedram, M.; Iranmanesh, A.; Mexiou, G.; CHUNG-PING CHEN | Proceedings - Eighth International Symposium on Quality Electronic Design, ISQED 2007 | |||
90 | 2006 | Temperature-aware placement for SOCs | Tsai, J.-L.; Chen, C.C.-P.; Chen, G.; Goplen, B.; Qian, H.; Zhan, Y.; Kang, S.-M.; Wong, M.D.F.; Sapatnekar, S.S.; CHUNG-PING CHEN | Proceedings of the IEEE | |||
91 | 2006 | ICCAP-A linear time sparsification and reordering algorithm for 3-D BEM capacitance extraction | Jiang, R.; Chang, Y.-H.; CHUNG-PING CHEN | IEEE Transactions on Microwave Theory and Techniques | |||
92 | 2006 | Welcome Notes | Karnik, T.; Chen, C.C.-P.; Alexiou, G.; Kahng, A.; Iranmanesh, A.; CHUNG-PING CHEN | Proceedings - International Symposium on Quality Electronic Design, ISQED | |||
93 | 2006 | Correlation-preserved statistical timing with a quadratic form of Gaussian variables | Zhang, L.; Chen, W.; Hu, Y.; Gubner, J.A.; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | |||
94 | 2006 | ConvexSmooth: A simultaneous convex fitting and smoothing algorithm for convex optimization problems | Roy, S.; CHUNG-PING CHEN | Proceedings - International Symposium on Quality Electronic Design, ISQED | |||
95 | 2005 | Statistical Timing Analysis Driven Post-Silicon-Tunable Clock-Tree Synthesis | Jeng-Liang Tsai; Lizheng Zhang; CHUNG-PING CHEN | ICCAD | |||
96 | 2005 | ConvexFit: An Optimal Minimum-Error Convex Fitting and Smoothing Algorithm with Application to Gate-Sizing | Sanghamitra Roy; Weijen Chen; CHUNG-PING CHEN | ICCAD | |||
97 | 2005 | Efficient Statistical Capacitance Variability Modeling with Orthogonal Principle Factor Analysis | Rong Jiang; Wenyin Fu; Janet Meiling Wang; CHUNG-PING CHEN | ICCAD | 25 | 0 | |
98 | 2005 | 整合電腦架構及實體佈局共同合成之環境(2/3) | 陳中平 | ||||
99 | 2005 | 子計畫二:時序就是一切:論電源震盪,雜訊,及溫度對時序 之影響(2/3) | 陳中平 | ||||
100 | 2005 | ICCAP: A Linear Time Sparse Transformation and Reordering Algorithm for 3D BEM Capacitance Extraction | Rong Jiang; CHUNG-PING CHEN | DAC | |||
101 | 2005 | Correlation-Preserved Non-Gaussian Statistical Timing Analysis with Quadratic Timing Model | Lizheng Zhang; Weijen Chen; Yuhen Hu; John A. Gubner; CHUNG-PING CHEN | DAC | |||
102 | 2005 | Substrate-Bias Optimized 0.18um 2.5 GHz 32-bit adder with Post-Manufacture Tunable Clock | Qi-Wei Kuo; Vikas Sharma; CHUNG-PING CHEN | VLSI-TSA-DAT | |||
103 | 2005 | 1-V 7-mW Dual-Band Fast-Locked Frequency Synthesizer | Vikas Sharma; Chien-Liang Chen; Charlie Chung-Ping Chen; CHUNG-PING CHEN | GLSVLSI | |||
104 | 2005 | Statistical Timing Analysis with Extended Pseudo-Canonical Timing Model | Lizheng Zhang; Weijen Chen; Yu-Hen Hu; CHUNG-PING CHEN | DATE | |||
105 | 2005 | False Path and Clock Scheduling Based Yield-Aware Gate Sizing | Jeng-Liang Tsai; DongHyun Baik; Charlie Chung-Ping Chen; Kewal K. Saluja; CHUNG-PING CHEN | VLSI Design | |||
106 | 2005 | Statistical Static Timing Analysis with Conditional Linear MAX/MIN Approximation and Extended Canonical Model | Lizheng Zhang; Weijen Chen; Yuhen Hu; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD) | |||
107 | 2005 | Block-Based Statistical Timing Analysis with Extended Canonical Timing Model | Lizheng Zhang; Yu-Hen Hu; CHUNG-PING CHEN | ASPDAC | |||
108 | 2005 | Wave-Pipelined On-Chip Global Interconnect | Lizheng Zhang; Yu-Hen Hu; CHUNG-PING CHEN | ASPDAC | |||
109 | 2005 | Linear Time Capacitance Extraction based on Implicit Congruence Transformation | Rong Jiang; Yu-hao Wang; CHUNG-PING CHEN | International Microwave Symposium (IMS) | |||
110 | 2005 | Comprehensive Frequency Dependent Interconnect Extraction and Evaluction Methodology | Rong Jiang; CHUNG-PING CHEN | ASPDAC | |||
111 | 2005 | EPEEC: A Compact Eddy-Current-Aware Reluctance-Based Macromodel for High-Speed Interconnects above Lossy Multilayer Substrate | Rong Jiang; Wenyin Fu; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD) | |||
112 | 2005 | Process-Variation Robust and Low-Power Zero-Skew Buffered Clock-Tree Synthesis Using Projected Scan-Line Sampling | Jeng-Liang Tsai; CHUNG-PING CHEN | ASPDAC | |||
113 | 2005 | Fast and Effective Gate-Sizing with Multiple-Vt Assignment using Generalized Lagrangian Relaxation | Hsinwei Chou; Yu-Hao Wang; CHUNG-PING CHEN | ASPDAC | |||
114 | 2005 | A linear time implicit congruence sparsification technique for BEM capacitance extraction | Jiang, R.; CHUNG-PING CHEN | IEEE MTT-S International Microwave Symposium Digest | |||
115 | 2005 | Yield-driven, false-path-aware clock skew scheduling | Tsai, J.-L.; Baik, D.H.; Chen, C.C.-P.; Saluja, K.K.; CHUNG-PING CHEN | IEEE Design and Test of Computers | |||
116 | 2005 | EPEEC: Comprehensive SPICE-compatible reluctance extraction for high-speed interconnects above lossy multilayer substrates | Jiang, R.; Fu, W.; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | |||
117 | 2005 | System-level power and thermal modeling and analysis by orthogonal polynomial based response surface approach (OPRS) | Wang, J.M.; Srinivas, B.; Ma, D.; Chen, C.C.-P.; Li, J.; CHUNG-PING CHEN | IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD | |||
118 | 2004 | A Yield Improvement Methodology Using Pre- and Post-Silicon Statistical Clock Scheduling | Jeng-Liang Tsai; DongHyun Baik; Charlie Chung-Ping Chen; Kewal K. Saluja; CHUNG-PING CHEN | IEEE/ACM International Conference on Computer-Aided Design (ICCAD) | |||
119 | 2004 | HiSIM: Hierarchical Interconnect-Centric Circuit Simulator | Tsung-Hao Chen; Jeng-Liang Tsai; Charlie Chung-Ping Chen; Tanay Karnik; CHUNG-PING CHEN | IEEE/ACM International Conference on Computer-Aided Design (ICCAD) | |||
120 | 2004 | 子計畫二:時序就是一切:論電源震盪,雜訊,及溫度對時序 之影響(1/3) | 陳中平 | ||||
121 | 2004 | 整合電腦架構及實體佈局共同合成之環境(1/3) | 陳中平 | ||||
122 | 2004 | Statistical Timing Analysis in Sequential Circuit for On-Chip Global Interconnect Pipelining | Lizheng Zhang; Yu Hen Hu; CHUNG-PING CHEN | IEEE/ACM Design Automation Conference (DAC) | |||
123 | 2004 | ESPRIT: A Compact Reluctance Based Interconnect Model Considering Lossy Substrate Eddy Current | Rong Jiang; CHUNG-PING CHEN | International Microwave Symposium (IMS) | |||
124 | 2004 | Zero-Skew Clock-Tree Optimization with Buffer-Insertion/Sizing and Wire-Sizing | Jeng-Laing Tsai; Tsung-Hao Chen; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD) | |||
125 | 2004 | SPICE-Compatible Thermal Simulation with Lumped Circuit Modeling for Thermal Reliability Analysis based on Model Reduction | Ting-Yuan Wang; CHUNG-PING CHEN | 5th International Symposium on Quality Electronic Design (ISQED) | |||
126 | 2004 | Realizable Reduction for Electromagnetically Coupled RLMC Interconnects | Rong Jiang; CHUNG-PING CHEN | Design, Automation and Test in Europe Conference and Exhibition (DATE) | |||
127 | 2004 | Thermal and Power Integrity based Power/Ground Networks Optimization | Ting-Yuan Wang; Jeng-Liang Tsai; CHUNG-PING CHEN | Design, Automation and Test in Europe Conference and Exhibition (DATE) | |||
128 | 2004 | SCORE: SPICE Compatible Reluctance Extraction | Rong Jiang; CHUNG-PING CHEN | Design, Automation and Test in Europe Conference and Exhibition (DATE) | |||
129 | 2004 | LARTTE: A Posynomial-Based Lagrangian Relaxation Tuning Tool for Fast and Effective Gate-Sizing and Multiple Vt Assignment | Hsinwei Chou; Yu-Hao Wang; CHUNG-PING CHEN | The 12th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI) | |||
130 | 2004 | A ROBDD-Based Generalized Nodal Control Scheme for Standby Leakage Power Reduction | Hsinwei Chou; CHUNG-PING CHEN | The 12th Workshop on Synthesis And System Integration of Mixed Information technologies | |||
131 | 2004 | Power-Delivery Networks Optimization with Thermal Reliability Integrity | Ting-Yuan Wang; Jeng-Liang Tsai; |CHUNG-PING CHEN | ACM International Symposium on Physical Design (ISPD) | |||
132 | 2004 | HiPRIME: Hierarchical and Passivity Preserved Interconnect Macromodeling Engine for RLKC Power Delivery | Yu-Min Lee; Yahong Cao; Tsung-Hao Chen; Janet Wang; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD) | 40 | ||
133 | 2004 | Wave-pipelined On-Chip Global Interconnect | Lizheng Zhang; Yuhen Hu; CHUNG-PING CHEN | ACM/IEEE TAU Workshop on Timing Issues in the Specification and Synthesis of Digital Systems | |||
134 | 2004 | Statistical Timing Analysis with AMECT: Asymptotic MAX/MIN Approximation and Extended Canonical Timing Model | Lizheng Zhang; Yu-Hen Hu; CHUNG-PING CHEN | The 12th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI) | |||
135 | 2003 | SuPREME: Substrate and Power-delivery Reluctance-Enhanced Macromodel Evaluation | Tsung-Hao Chen; Clement Luk; CHUNG-PING CHEN | IEEE/ACM International Conference on Computer Aided Design (ICCAD) | |||
136 | 2003 | Thermal-ADI: A Linear-Time Chip-Level Dynamic Thermal Simulation Algorithm Based on Alternating-Direction-Implicit (ADI) Method | Ting-Yuan Wang; CHUNG-PING CHEN | IEEE Transaction on Very Large Scale Integration Systems (TVLSI) | 39 | ||
137 | 2003 | INDUCTWISE: Inductance-Wise Interconnect Simulator and Extractor | Tsung-Hao Chen; Clement Luk; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) | |||
138 | 2003 | PODEA: POwer Delivery Efficient Analysis with Realizable Model Reduction | Rong Jiang; Tsung-Hao Chen; CHUNG-PING CHEN | IEEE International Symposium on Circuits and Systems (ISCAS) | |||
139 | 2003 | 3D Thermal-ADI: An Efficient Chip-Level Transient Thermal Simulator | Ting-Yuan Wang; Yu-Min Lee; CHUNG-PING CHEN | ACM International Symposium on Physical Design (ISPD) | |||
140 | 2003 | Epsilon-Optimal Zero-Skew Clock Tree Wire-Sizing in Pseudo-Polynomial Time | Jeng-Laing Tsai; Tsung-Hao Chen; CHUNG-PING CHEN | ACM International Symposium on Physical Design (ISPD) | |||
141 | 2003 | The Power Grid Transient Simulation in Linear Time based on 3D Alternating-Direction-Implicit Method | Yu-Min Lee; CHUNG-PING CHEN | Design, Automation and Test in Europe Conference and Exhibition (DATE) | |||
142 | 2003 | A hierarchical analysis methodology for chip-level power delivery with realizable model reduction | Lee, Y.-M.; CHUNG-PING CHEN | Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC | |||
143 | 2003 | The power grid transient simulation in linear time based on 3-D alternating-direction-implicit method | Lee, Y.-M.; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | |||
144 | 2002 | INDUCTWISE: Inductance-Wise Interconnect Simulator and Extractor | Tsung-Hao Chen; Clement Luk; Hyungsuk Kim; CHUNG-PING CHEN | IEEE/ACM Design Automation Conference (DAC) | |||
145 | 2002 | Optimal Wire-sizing Function under the Elmore Delay Model with Bounded Wiresizes | Yu-Min Lee; Charlie Chung-Ping Chen; D. F. Wong; CHUNG-PING CHEN | IEEE Transactions on Circuits & Systems-I (TCAS-I) | |||
146 | 2002 | Power Grid Transient Simulation in Linear Time based on Transmission-Line-Modeling Alternating-Direction-Implicit Method | Yu-Min Lee; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD) | 12 | ||
147 | 2002 | 3-D Thermal-ADI: a linear-time chip level transient thermal simulator | Ting-Yuan Wang; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD) | |||
148 | 2002 | HiPRIME: Hierarchical and Passivity Reserved Interconnect Macromodeling Engine for RLKC Power Delivery | Yahong Cao; Yu-Min Lee; Tsung-Hao Chen; CHUNG-PING CHEN | IEEE/ACM Design Automation Conference (DAC) | |||
149 | 2002 | Optimization of the Power/Ground Network Wire-Sizing and Spacing Based on Sequential Network Simplex Algorithm | Ting-Yuan Wang; CHUNG-PING CHEN | 3rd International Symposium on Quality Electronic Design (ISQED) | |||
150 | 2002 | Simultaneous Buffer-sizing and Wire-sizing for Clock Trees Based on Lagrangian Relaxation | LEE, YU-MIN; CHEN, CHARLIE CHUNG-PING; YAO-WEN CHANG ; CHUNG-PING CHEN | VLSI Design | 4 | 2 | |
151 | 2002 | Accurate and efficient inductance extraction for SoC noise and signal integrity | Chang, L.-F.; Chang, K.-J.; CHUNG-PING CHEN | IEEE Topical Meeting on Electrical Performance of Electronic Packaging | |||
152 | 2002 | Future SoC design challenges and solutions | Chen, C.-C.P.; Cheng, E.; CHUNG-PING CHEN | Proceedings - International Symposium on Quality Electronic Design, ISQED | |||
153 | 2001 | Efficient Large-Scale Power Grid Analysis Based on Preconditioned Krylov-Subspace Iterative Methods | Tsung-Hao Chen; CHUNG-PING CHEN | IEEE/ACM Design Automation Conference (DAC) | |||
154 | 2001 | Thermal-ADI: A Linear-Time Chip-Level Dynamic Thermal Simulation Algorithm Based on Alternating-Direction-Implicit (ADI) Method | Ting-Yuan Wang; CHUNG-PING CHEN | ACM International Symposium on Physical Design (ISPD) | |||
155 | 2001 | Optimal spacing and capacitance padding for general clock structures | Yu-Min Lee; Hing Yin Lai; CHUNG-PING CHEN | Asian and South Pacific Design Automation Conference (ASP-DAC) | |||
156 | 2001 | Hierarchical model order reduction for signal-integrity driven interconnect synthesis | Yu-min Lee; CHUNG-PING CHEN | Great Lakes Symposium on VLSI (GLSVLSI) | |||
157 | 2001 | FDTD-ADI: An Unconditionally Stable Full Wave Maxwell Equation Solver for VLSI Modeling | Charlie Chung-Ping Chen; Narayanan Murugesan; Tae-Woo Lee; Susan C. Hagness; Yu-Min Lee; CHUNG-PING CHEN | IEEE/ACM International Conference on Computer Aided Design (ICCAD) | |||
158 | 2001 | Linear Time Hierarchical Capacitance Extraction Without Multipole Expansion | Saisanthosh Balakrishnan; Jong Hyuk Park; Hyungsuk Kim; Yu-Min Lee; CHUNG-PING CHEN | International Conference on Computer Design (ICCD) | |||
159 | 2001 | RC-in RC-out model order reduction accurate up to second order moments | Ganesh, P.; CHUNG-PING CHEN | Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors | |||
160 | 2000 | Generalized FDTD-ADI: An unconditionally stable full-wave Maxwell's equations solver for VLSI Interconnect Modeling | Chen, C.C.-P.; Lee, T.-W.; Murugesan, N.; Hagness, S.C.; CHUNG-PING CHEN | IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD | |||
161 | 1999 | Fast and Exact Simultaneous Gate and Wire Sizing by Lagrangian Relaxation | Chung-Ping Chen; Chris C. N. Chu; D. F. Wong; CHUNG-PING CHEN | IEEE Transactions on Computer-Aided Design of Integrated Circuits And Systems (TCAD) | |||
162 | 1999 | Noise-Aware Repeater Insertion and Wire-Sizing for On-chip Interconnect Using Hierarchical Moment-Matching | Chung-Ping Chen; N. Menezes; CHUNG-PING CHEN | IEEE/ACM Design Automation Conference (DAC) | |||
163 | 1999 | Error-bounded Pade Approximation via Bilinear Conformal Transformation | CHUNG-PING CHEN ; D.F. Wong | IEEE/ACM Design Automation Conference (DAC) | 11 | 0 | |
164 | 1999 | Spec-based Repeater Insertion and Wire-Sizing for On-chip Interconnect | N. Menezes; CHUNG-PING CHEN | Twelfth International Conference on VLSI Design | |||
165 | 1999 | Noise-aware repeater insertion and wire sizing for on-chip interconnect using hierarchical moment-matching | CHUNG-PING CHEN ; Menezes, Noel | Proceedings - Design Automation Conference |